数电模电数字时钟设计

合集下载

数字电子钟设计(电子集成专业类课程设计)

数字电子钟设计(电子集成专业类课程设计)

电子线路课程设计——数字时钟的设计与制作一、设计目标1.通过这次课程设计,进一步熟悉和掌握数电和模电知识,掌握multisim仿真软件的使用。

2.学习数字时钟的硬件设计原理,熟练各种电路应用。

3.培养独立分析问题和解决问题的能力和创新思维。

二、设计功能要求(1)时的技术要求为“24翻1”,分和秒的要求为60进制进位(2)准确计时,以数字形式显示时,分,秒的时间(3)具有校时功能,可以分别对时及分进行单独校对,能校正到标准时间(4)拓展功能:整点报时三、数字钟电路系统工作原理1.数字钟的构成石英晶振为主要部件的振荡器、分频器、计数器、校时电路、数码显示、整点报时电路。

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路。

同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

2.电路设计框图如下由图可见:本数字钟电路主要由振荡器,分频器,校时电路,时分秒计数器,译码显示器及整点报时电路构成。

3、工作原理①振荡电路:由石英振荡器产生的32768HZ高频脉冲信号作为数字钟的时间基准。

石英晶体振荡器的特点是振荡频率准确、电路结构简单,易调整。

用反相器和石英晶体构成振荡电路如下图。

利用两非门G1和G2自我反馈,使他们工作在现行状态,然后利用石英晶体JU来控制震荡频率,同时用电容C1来作为两个非门之间的耦合。

两个非门输入和输出之间并联的电阻R1和R2作为负反馈元件,由于反馈作用很小,可以近似认为非门的输出输入压降相等,电容C2是为了防止寄生振荡。

电路图如下:仿真图如下:②分频电路:分频器的功能主要有产生标准秒脉冲信号和提供功能扩展电路所需的信号。

(共经过15级2分频集成电路)我们实验用的是CD4060、74LS74,其中CD4060是14级分频器,将石英晶振的高频变为二分频,74LS74是D触发器,可以用作二分频。

数字电路课程设计电子数字钟+闹铃

数字电路课程设计电子数字钟+闹铃

数字电路课程设计电子数字钟+闹铃数字电路课程设计院系:专业:电子信息工程姓名:学号:完成日期:2021 数字钟的设计一、系统功能概述、系统实现的功能:1、具有“时”、“分”、“秒”的十进制数字显示。

2、具有手动校时、校分、校秒的功能。

3、有定时和闹钟功能,能够在设定的时间发出闹铃声。

4、能进行整点报时。

从59分50秒起,每隔2秒发一次低音“嘟”的信号,连续5次,最后一次为高音“嘀”的信号。

、各项设计指标:1、显示部分采用的6个LED显示器,从高位至低位分别显示时、分、秒。

2、有一个设置调闹钟定时时间、正常时间的按钮,选择调的对象。

3、有三个按钮分别调时、分、秒的时间。

4、有一个按钮用作开启/关闭闹铃。

5、另外需要两个时钟信号来给系统提供脉冲信号,使时钟和闹钟正常工作,分别为1Hz、1kHz的脉冲。

二、系统组成以及系统各部分的设计 1、系统结构描述 //要求:系统结构描述,各个模块的功能描述;系统的顶层文件:1、顶层文件图:2、各模块的解释:、7个输入量clk_1khz、clk_1hz、key_slt、key_alarm、sec_set、min_set、hour_set:其中clk_1khz为闹铃模块提供时钟,处理后能产生“嘟”、“嘀”和变化的闹铃声音;clk_1hz为计时模块提供时钟信号,每秒计数一次;key_slt选择设置对象:定时或正常时间;key_alarm能够开启和关闭闹铃;sec_set、min_set、hour_set用于设置时间或定时,与key_slt 相关联。

各按键输出为脉冲信号。

、CNT60_A_SEC模块:这个模块式将clk_1hz这个时钟信号进行60进制计数,并产生一个分钟的触发信号。

该模块能将当前计数值实时按BCD码的格式输出。

将该输出接到两位LED数码后能时时显示秒的状态。

通过alarm_clk可以选择设置对象为时间还是定时值。

在设置时间模式上,key上的一个输入脉冲可以将clk的输入信号加一。

数电课设-数字钟

数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。

2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。

3、用LCD液晶屏来显示当前时间及功能模式。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。

数电课程设计数字钟

数电课程设计数字钟

数电课程设计数字钟一、课程目标知识目标:1. 理解数字钟的基本原理和组成,掌握数字电路基础知识;2. 学会运用组合逻辑电路设计数字钟的时、分、秒显示部分;3. 掌握数字钟的计时功能,了解其工作过程和调试方法;4. 了解数字钟在实际应用中的优势,如精确度、稳定性等。

技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字钟电路;2. 培养动手实践能力,学会使用相关仪器、工具进行电路搭建和调试;3. 提高问题解决能力,能够分析并解决数字钟运行过程中出现的问题;4. 学会团队协作,与他人共同完成课程设计任务。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生的耐心、细心和责任心,养成良好的学习习惯;3. 引导学生关注科技发展,认识数字技术在实际生活中的应用;4. 培养学生的环保意识,注意电子垃圾的处理和回收。

课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际操作技能。

学生特点:学生已具备一定的数字电路基础知识,具有较强的求知欲和动手欲望。

教学要求:结合课程性质和学生特点,采用理论教学与实践操作相结合的方式,注重启发式教学,引导学生主动参与课程设计过程,提高学生的实践能力和创新能力。

通过课程目标的分解,确保学生能够达到预定的学习成果,为后续的教学设计和评估提供依据。

二、教学内容1. 数字钟原理及组成- 了解数字钟的基本工作原理- 掌握数字钟的各个组成部分,如振荡器、分频器、计数器、显示电路等2. 组合逻辑电路设计- 学习组合逻辑电路的设计方法- 应用组合逻辑电路设计数字钟的时、分、秒显示部分3. 数字电路基础知识- 复习数字电路基础知识,如逻辑门、触发器、计数器等- 了解不同类型数字电路的特点和应用4. 数字钟电路搭建与调试- 学习数字钟电路的搭建方法- 掌握数字钟电路的调试技巧,分析并解决常见问题5. 教学内容安排与进度- 第一周:数字钟原理及组成,数字电路基础知识复习- 第二周:组合逻辑电路设计,数字钟显示部分设计- 第三周:数字钟电路搭建,初步调试- 第四周:数字钟电路调试,优化与改进6. 教材章节及内容列举- 教材第三章:数字电路基础- 教材第四章:组合逻辑电路- 教材第五章:时序逻辑电路- 教材第六章:数字钟设计与实践教学内容科学、系统,注重理论与实践相结合,以学生动手实践为主,充分调动学生的积极性,培养实际操作能力。

数电仿真多功能数字钟单元电路设计

数电仿真多功能数字钟单元电路设计

数电仿真多功能数字钟单元电路设计
设计多功能数字钟需要考虑多个方面,包括秒脉冲发生器、计数译码显示、校时电路、整点报时电路等。

下面是具体的模块化设计思路:
1. 秒脉冲发生器:通常使用晶体振荡器作为基础,将其整形、
分频获得 1Hz 的秒脉冲。

2. 计数译码显示:秒、分、时、日分别对应 60、60、24、7 进制计数器,译码显示采用 BCD-七段译码器,显示器采用共阴或共阳
的显示器。

3. 校时电路:在刚刚开机接通电源时,由于日、时、分、秒为
任意值,需要进行调整。

设置置开关在手动位置,分别对时、分、秒、日进行单独计数,计数脉冲由单次脉冲或连续脉冲输入。

4. 整点报时电路:当时计数器在每次计到整点前六秒时,需要
报时,这可用译码电路来解决。

当分为 59 时,则秒在计数计到 54 时,输出一延时高电平去打开低音与门,使报时声按 500Hz 频率呜叫 5 声,直至秒计数器计到 58 时,结束这高电平脉冲;当秒计数到 59 时,则去驱动高音 1KHz 频率输出。

通过以上模块化设计,可以方便地进行仿真测试,不断调整和完善电路设计,以提高数字钟的精度和实用性。

数电课程设计报告(数字时钟)

数电课程设计报告(数字时钟)

课题三、数字电子钟设计一:设计要求:(1)、准确计时,以数字形式显示时、分、秒的时间。

(2)、小时计时采用24进制的计时方式,分、秒采用60进制的计时方式。

(3)、具有快速校准时、分、秒的功能。

二:总体参考方案该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。

秒计数器计满60后向分计数器进位,分计数计满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。

计数器输出经译码器送显示器。

计时出现误差时可以用校时电路进行较时、校分、校秒。

三:单元电路设计1. 秒脉冲发生器用555定时器构成秒脉冲发生器如图3.1所示图3.1 555定时器构成的秒脉冲发生器1.1555定时器555的工作原理它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器C1同相比较端和低电平比较器C2的反相输入端的参考电平为2VCC/3和VCC/3。

C1和C2的输出端控制RS触发器状态和放电管开关状态。

当输入信号为低电平时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于VCC/3时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。

Vco是控制电压端(5脚),当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01微法的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。

T为放电管,当T导通时,将给接于脚7的电容器提供低阻放电电路.图3.1.1 555定时器的电路结构及其引脚图3.1.2 555电路的引脚功能2. 秒、分、时计数器秒、分计数器分和秒计数器都是模数M=60的计数器,其计数规律为00---01---…58---59---00…选74LS161作十位及个位计数器,再将它们级联组成模数M=60的计数器.图2.1 秒、分计时器图2.2 74LS161引脚图管脚图介绍:时钟CP和四个数据输入端P0~P3清零/MR使能CEP,CET置数PE数据输出端Q0~Q3以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET*SR PE CET CEP工作模式L X X X RESET (Clear)清零H L X X LOAD (Pn Qn)置数H H H H COUNT (Increment)计数H H L X NO CHANGE (Hold)保持(不变)H H X L NO CHANGE (Hold)保持(不变)图2.3 74LS161选择开关方式真值表时计数器时计数器是一个“24翻1”的特殊进制计数器,即当数字钟运行到24时59分59秒,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中的计时规律。

模拟电路数字电子钟设计

模拟电路数字电子钟设计

课程设计任务书题目:数字电子钟设计初始条件:1.具备电子电路的基础知识和查阅资料和手册的能力2 •熟悉常用电子器件和常规实验仪器及电子设计常用软件3.已掌握电子电路实验的基本方法要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1.采用位数码管,显示范围0分00秒—— 23时59分59秒2.提出至少两种设计实现方案,并优选方案进行设计3.有能力的同学可在完成上述要求后提出增强功能的设计方案1)当电路发生走时误差时,要求电路具有校时功能2)电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点4•撰写符合学校要求的课程设计说明书时间安排:1.7月7日动员大会,下达课程设计任务书2.7月7日T1日查阅资料,选择设计方案,进行设计计算,完成预设计3.7月12日预设计方案经教师审查4.7月12日一17日设计电路的安装和调试并完成课程设计说明书5.7月17日一18日课程设计答辩指导教师签名:2008 年07月07日系主任(或责任教师)签名:2008 年07月07日目录摘要..................................................................... .31设计内容及要求 (4)2方案设计与选择 (4)2.1 设计原理 (4)2.2方案选择 (5)221方案一 (5)222方案二 (7)2.3方案一与方案二的比较........................................................... .73单元电路设计............................................................... •7 3.1秒脉冲产生电路 .................................................................... .. (7)3.2计数电路..................................................................................... . (9)3.3 译码显示电路 .................................................................... . (11)3.4校时电路..................................................................................... . (11)4 制作、调试........................................................ (12)4.1调试过程中发现的问题............................................................ (12)4.2调试电路的方法和技巧............................................................ . (12)4.3调试中的故障原因及排除方法135收获、体会和改进的方法 (13)5.1收获和体会 (14)5.2改进及展望 .................................................................... ............... ..14参考文献...................................................................... ..14附录1 (15)附录2 ................................................................................ .. 15附录3 (16)摘要由于现代社会模拟电子技术基础和数字电子技术基础的高速发展,因而由这技术制造出来的越来越先进,数字钟已经被人们普遍使用,虽然数字钟的外形和功能不尽相同,但是用于制造数字钟的原理基本上都是一样的。

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)第一篇:电子数字时钟课程设计报告(数电)数字电子钟的设计1.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。

而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字钟包括组合逻辑电路和时叙电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标1.时间以12小时为一个周期;2.显示时、分、秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。

4、完成3000字的课程设计报告2.功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。

工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现24小时的累计。

LED数码管将“时、分、秒”计数器的输出状态显示。

校时电路是来对“时、分、秒”显示数字进行校对调整。

2.2 原理框图3.功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。

数电模电数字时钟设计

数电模电数字时钟设计

扬州大学能源与动力工程学院本科生课程设计题目:数字时钟设计课程:数字电子技术基础专业:电气工程及其自动化班级:电气8888班学号:111712345姓名:提莫队长指导教师:年** 蒋**页脚内容0完成日期:2013年6月14日总目录第一部分:任务书第二部分:课程设计报告第三部分:设计图纸页脚内容1第一部分任务书页脚内容2《数字电子技术基础》课程设计任务书一、课程设计的目的本课程是在学完《数字电子技术基础》、《数字电子技术实验》之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实践环节,通过该教学环节,要求达到以下目的:1.使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力;2.使学生基本掌常用电子电路的一般设计方法,提高电子电路的设计和实验能力;3.熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。

二、课程设计的要求1.设计时要综合考虑实用、经济并满足性能指标要求;2.必须独立完成设计课题;页脚内容33.合理选用元器件;4.按时完成设计任务并提交设计报告。

三、课程设计进度安排1、方案设计;(半天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。

2、电路设计:(一天)根据方案设计框图,并画出详细的逻辑图3、装配图设计:(半天)根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。

同时配以必要的文字说明。

4、电路制作:(两天)对选定的设计,按装配图进行装配,调试实验。

5、总结鉴定:(一天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报。

四、设计题目及内容1、题目:数字时钟电路2、内容:页脚内容4(1)具有“时”“分”的数字显示时钟;(2)“秒”不作数字显示,只使“时”和“分”之间“:”间隔闪亮;(3)具有校分和校时功能;(4)具有整点报时功能(59分50秒开始间歇报时)。

(数电)多功能数字钟—设计报告

(数电)多功能数字钟—设计报告

1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。

②扩展功能:整点报时。

2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。

555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。

在功能方面,对于本次综合设计,还要求有校时与整点报时功能。

方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。

因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。

主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。

系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。

将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计时器,可实现对一天24小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。

校时电路时用来对“时”、“分”显示数字进行校对调整。

3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。

脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。

数电课程——电子钟设计报告(正文)

数电课程——电子钟设计报告(正文)

多功能数字钟的电路设计报告一、设计题目:多功能数字钟的电路设计二、设计任务和要求:1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

2)具有校准时、分的功能。

3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。

三、原理电路设计:一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。

振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。

数字钟的整机逻辑框图如下:方案比较与选择:(1)振荡器方案二:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。

555与RC组成的多谐振荡器图方案二:采用石英晶体振荡器经过分频得到这一时间脉冲信号。

石英晶体振荡器图方案三:由集成逻辑门与RC组成的时钟源振荡器。

门电路与RC组成的多谐振荡器图方案分析:用555组成的脉冲产生电路: R1=15*103Ω,R2=68*103Ω,C=10μF ,则555所产生的脉冲的为:f=1.43/[(R1+2*R2)*103*10*106=0.947Hz,而设计要求为1Hz,因此其误差为5.3%,在精度要求不是很高的时候可以使用。

石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32.768kHz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。

由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。

综上分析,选择方案二,石英晶体振荡电路能够作为最稳定的信号源。

(2)分频器时间标准信号的频率很高,要得到秒脉冲,需要分频电路。

在本设计中选择32.768kHz的石英晶振。

数电数字钟课程设计

数电数字钟课程设计

数电数字钟课程设计一、课程目标知识目标:1. 理解数字时钟的基本原理,掌握数字电路基础知识;2. 学会使用集成门电路设计简单的数字电路,并能正确读取数字时钟电路图;3. 掌握数字时钟各模块(如秒脉冲发生器、计数器、译码器等)的功能及相互关系。

技能目标:1. 能够运用所学知识,设计并搭建一个简易的数电数字钟;2. 培养学生动手实践能力,学会使用相关仪器、工具进行电路连接和调试;3. 提高学生的问题分析和解决能力,能够针对数字时钟故障进行排查和修复。

情感态度价值观目标:1. 激发学生对电子技术的兴趣,培养创新意识和团队合作精神;2. 培养学生严谨、细心的学习态度,养成良好的学习习惯;3. 增强学生对科技发展的关注,认识数字电路在实际应用中的价值。

分析课程性质、学生特点和教学要求,本课程目标旨在使学生在掌握数字电路基础知识的基础上,通过实际操作和设计,提高实践能力和创新意识,培养团队合作精神。

课程目标具体、可衡量,便于教师进行教学设计和评估。

在此基础上,将目标分解为具体的学习成果,为后续教学提供明确的方向。

二、教学内容1. 数字电路基础知识回顾:逻辑门电路、触发器、计数器等基本概念和工作原理。

2. 数字时钟原理:介绍数字时钟的构成、工作原理及各模块功能,如秒脉冲发生器、分频器、计数器、译码器等。

3. 教学案例:选用教材中相关的数字时钟案例,分析其电路原理和设计方法。

- 章节关联:第三章“组合逻辑电路”和第四章“时序逻辑电路”- 列举内容:3.2节“集成门电路”、4.3节“触发器”和4.4节“计数器”4. 实践操作:指导学生使用面包板、集成块等工具,搭建一个简易的数电数字钟。

- 进度安排:实践操作分为两个阶段,第一阶段为电路设计和搭建,第二阶段为电路调试和优化。

5. 故障排查与修复:教授学生针对数字时钟常见故障进行分析和解决的方法。

6. 课后拓展:引导学生关注数字电路在实际应用中的新技术和新发展。

教学内容根据课程目标进行选择和组织,确保科学性和系统性。

模电课程设计--数字钟

模电课程设计--数字钟

大连理工大学项目报告题目:数字钟项目名称:数电实验设计学院(系):电信专业:班级:学生姓名:学号:完成日期:7.201、设计要求具有如下功能的闹钟:1).设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器。

2). 具有手动校时、校分的功能。

3).定时与闹钟功能,能在设定的时间发出闹铃声。

4).能进行整点报时。

要求发出仿中央人民广播电台的整点报时信号,即从59分50秒起,每隔2秒钟发出一次低音“嘟”的信号,连续5次,最后一次要求高音“嘀”的信号,此信号结束即达到整点。

2、设计分析及系统方案设计1). 时钟控制:将set置0,时钟小时位、分钟位、秒位全部归0;将set置1,时钟开始“走”。

2). 时钟设置:将sel置1,开始进行时间设置,按下键8,输出一个脉冲,时位进1,进行小时设置;按下键7,输出一个脉冲,分位进1,进行分钟设置。

将sel置0,设置结束,时钟开始运行。

3). 闹钟设置:将setc置1,开始进行闹钟设置。

将set1置1,进行闹钟小时位设置,按一下键6,输出一个脉冲,小时位叫进1;将set1置0,进行闹钟分钟位设置,按一下键6,输出一个脉冲,分钟位进1。

4). 闹钟铃声:当时钟时、分“走”到与上面设置的闹钟的时、分一致时,comout 输出1,一分钟后时钟分与闹钟分不一致,comout输出0。

5). 报时设置:当时钟分位走到59,秒位走到51时,发出第一声512Hz响声,秒位走到53时,发出第二声512Hz响声,55第三声,57第四声,走到59秒时,发出1024Hz响声。

闹铃实现:当comout输入为1时,发出1024Hz响声,当comout 输入为0时,响声停止。

系统框图:3、系统以及模块硬件电路设计试验箱设备采用FLEX10K/EPF10K10L84-8(摸5)说明:引脚图4、系统的VHDL设计1)、分、秒模块(摸60计数器)程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity munite_and_second isport(clk: in std_logic;reset: in std_logic;--置0设置qh: out std_logic_vector(3 downto 0);--“秒”或“分”高位ql: out std_logic_vector(3 downto 0);--“秒”或“分”低位co: out std_logic);--为下一个模块提供脉冲end munite_and_second;architecture a of munite_and_second issignal qqh,qql: std_logic_vector(3 downto 0);beginprocess(clk)beginif(reset='0') thenqqh<="0000";qql<="0000";elsif(clk'event and clk='1') thenif(qqh="0101" and qql="1001") thenqqh<="0000"; qql<="0000"; co<='1';elsif(qql="1001") thenqql<="0000"; qqh<=qqh+1; co<='0';elseqql<=qql+1; co<='0';end if;end if;end process;qh<=qqh;ql<=qql;end a;2)、小时模块(模24计数器)程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity hour isport(clk: in std_logic;reset: in std_logic;hh: out std_logic_vector(3 downto 0);hl: out std_logic_vector(3 downto 0));end hour;architecture a of hour issignal hhh,hhl: std_logic_vector(3 downto 0);beginprocess(clk)beginif(reset='0') then—置零设置hhh<="0000"; hhl<="0000";elsif(clk'event and clk='1') thenif(hhh="0010" and hhl="0011") thenhhh<="0000"; hhl<="0000";elsif(hhl="1001") thenhhl<="0000"; hhh<=hhh+1;elsehhl<=hhl+1;end if;end if;end process;hh<=hhh; hl<=hhl;end a;3)、调时模块程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity adjust isport(clka,d0,sel: in std_logic;y: out std_logic);end adjust;architecture a of adjust isbeginprocess(clka,d0,sel)beginif(sel='1') then—调时控制y<=clka;--手动调时elsey<=d0;--时钟自动运行end if;end process;end a;记时调时电路图:4)、闹钟设置模块程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity clkset isport(hh,hl,mh,ml :in std_logic_vector(3 downto 0);setc,set1,f:in std_logic;bhg,bhd,bmg,bmd :buffer std_logic_vector(3 downto 0); comout :out std_logic);end clkset ;architecture behav of clkset isbegincom:process(hh,mh,hl,ml)beginif(bhg=hh and bhd=hl and bmg=mh and bmd=ml)thencomout<='1';--时钟和设置的闹钟比较,输出值elsecomout<='0';end if;end process;set:process(f)beginif(f'event and f='1')then—手动对闹铃进行设定if(setc='1' and set1='1')then—选择设定闹钟“时”if(bhg="0010" and bhd="0011")thenbhd<="0000";bhg<="0000";elsif(bhd="1001")thenbhd<="0000";bhg<=bhg+1;elsif(bhd<"1001")thenbhd<=bhd+1;end if;end if;end if;end process;process(f)beginif(f'event and f='1')then—手动设置闹钟if(setc='1' and set1='0')then—选择设置闹钟“分”if(bmg="0101" and bmd="1001")thenbmd<="0000";bmg<="0000";elsif(bmd="1001")thenbmd<="0000";bmg<=bmg+1;elsif(bmd<"1001")thenbmd<=bmd+1;end if;end if;end if;end process;end behav;电路:5)、显示模块程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity show isport(show1: in std_logic;hh,hl,mh,ml: in std_logic_vector(3 downto 0); high,low: out std_logic_vector(3 downto 0)); end ;architecture a of show isbeginprocess (show1)begincase show1 iswhen '1'=>high<=hh;low<=hl;--设置闹钟时选择显示“时”或“分”when others=>high<=mh;low<=ml;end case;end process;end a;电路:6)、报时模块程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity baoshi isport(mh,ml,sh,sl :in std_logic_vector(3 downto 0);f512hz,clk,comout :in std_logic;bell :out std_logic);end baoshi;architecture a of baoshi isbeginprocess(clk,mh,ml,sh,sl,f512hz)beginif(comout='1') then—闹铃控制bell<=clk;elsif(mh="0101"and ml="1001" )thenif(sh="0101") thenif(sl="1001") thenbell<=clk;--当59分59秒时,报时频率为clk频率elsif(sl="0001" or sl="0011" or sl="0101" or sl="0111")then--当59分51、53、55、57秒时,报时频率为f512频率bell<=f512hz;end if;elsebell<='0';end if;elsif(ml<"1001"or mh<"0101"or sh<"0101" )thenbell<='0';--当分钟小于59或者秒高位小于5时,不报时end if;end process;end a;电路:6)、分频模块程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity fenpin isport(clk:in std_logic;f512:out std_logic);end fenpin;architecture a of fenpin issignal tmp1: std_logic;beginprocess(clk)beginif(clk'event and clk='1')thentmp1<=not tmp1;--在上升沿时,temp1翻转end if;end process;f512<=tmp1;end a;电路:总仿真结果:5、结论以及结果说明1)运行环境:芯片名称:FLEX10K/EPF10LC84-8调试软件:MAX+PLUS II 10.2参数选取:CLOCK0=1Hz,CLOCK5=1kHz2)运行结果:当reset置0时,时钟时,分,秒全部置0,当reset置1时,时钟开始运行。

数字电路实验数字时钟的设计

数字电路实验数字时钟的设计

数字电子钟
一、实验目的
1.进一步熟悉各种进制计数器的功能及使用。

2.掌握译码显示电路的应用。

3.熟悉基本芯片的内部结构及应用。

4.熟悉数字电子钟的安装与调试。

二、设计原理
某数字电子钟的原理方框图如图1所示,该电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。

三、实现原理
1、脉冲发生及分频电路
2、译码驱动
3、时分秒计数器
秒、分计数器为60进制计数器,小时计数器为24进制计数器。

实现这两种模数的计数器采用十进制计数器74LS390来实现。

74LS390内部结构
3.校时电路
数字电子钟启动后,每当显示与实际时间不符时,需要根据标准时间进行校时。

简单有效的校时电路如图所示。

5V
注:开关在上为正常工作状态, 开关在下为校时状态。

数电课程设计时钟模板

数电课程设计时钟模板

数电课程设计时钟模板一、课程目标知识目标:1. 学生能够理解时钟电路的基本原理,掌握数电课程中计时器的设计方法。

2. 学生能够运用所学知识,设计并实现一个具有基本计时功能的时钟模板。

3. 学生能够了解时钟电路中各个组成部分的作用及其相互关系。

技能目标:1. 学生能够运用数字电路设计软件,进行时钟电路的绘制和仿真。

2. 学生能够通过实际操作,完成时钟电路的搭建和调试。

3. 学生能够分析并解决时钟电路中可能出现的问题,提高实际操作能力。

情感态度价值观目标:1. 学生培养对数字电路设计和电子制作的兴趣,激发创新意识。

2. 学生通过团队协作,培养沟通、交流和解决问题的能力。

3. 学生在课程学习中,培养严谨、细致的学习态度,提高自我管理和组织能力。

课程性质分析:本课程为数字电路课程设计的一部分,旨在帮助学生将所学理论知识与实际应用相结合,提高学生的实践能力。

学生特点分析:学生已具备一定的数字电路基础知识,具有一定的逻辑思维和分析能力,但对实际操作和设计尚缺乏经验。

教学要求:1. 教学内容与课本知识紧密结合,注重实践操作和理论知识的衔接。

2. 教学过程中,注重引导学生主动参与,培养学生的动手能力和解决问题的能力。

3. 教学评估以学生实际操作和设计成果为主要依据,关注学生在课程学习中的成长和进步。

二、教学内容1. 数字电路基础知识回顾:使学生掌握触发器、计数器等基本电路的工作原理和功能。

- 教材章节:第二章 数字电路基础- 内容列举:触发器、计数器、寄存器等基本电路的工作原理和功能。

2. 时钟电路设计原理:讲解时钟电路的基本组成部分,如晶振、分频器、计时器等,并分析其工作原理。

- 教材章节:第四章 时序逻辑电路- 内容列举:时钟信号产生、分频器设计、计时器设计。

3. 数字电路设计软件应用:教授学生使用数字电路设计软件,如Multisim、Proteus等,进行时钟电路的绘制和仿真。

- 教材章节:第六章 数字电路设计与仿真- 内容列举:软件基本操作、电路图绘制、仿真分析。

数电综合实验——数字时钟仿真与调试

数电综合实验——数字时钟仿真与调试

译码器 译码器 译码器时计数器校时电路分频器555振荡器分计数器秒计数器整点报时电路显示部分驱动部分计数部分3.计数、译码、显示电路同理可得到二十四进制计数器,如图1-4所示译码电路可先用BCD-锁存器/七段译码/驱动器74LS47,它可以直接驱动共阳数码管显示器。

译码显示电路如图1-5所示4.校时电路校时电路的作用是当计数器刚接通电源或走时出现误差时,进行时间的校准。

校准电路如图1-6所示。

分为时校准、分校准、秒校准。

5.整点报时电路电路图如1-7所示。

此电路每当“分”计数器和“秒”计数器计到59分50秒时便会自动驱动蜂鸣器,发出报警声,报警时间为10秒。

3、实验心得经过一周的努力,终于完成了该电子时钟的课程设计。

经过这段时间的课程设计,我学到了许多东西,对课本上的内容的理解加深了印象,同时也学会了一种学习的态度。

理论要联系实践,当然实践也离不开理论,由于对课本的内容还不是很熟悉,所以在做这个课程设计前,我先把课本的重点知识复习了一遍,时序逻辑电路、组合逻辑电路等,然后就是到图书馆查找相应的资料,抱着好几本书就在那里认真地查,查的过程中也看到了很多关于CMOS芯片的应用实例。

理论上的知识搞定了,接下来就是开始设计了。

Mutisim这个软件的仿真,给我的印象是简洁实用,很多电路都能在上面先进行仿真,不过我这个题目的核心芯片在仿真上面,出现了一些问题,一些管脚的位置和实际的不一样,仿真调试不成功,于是我就想到,按照理论来讲这是没有错的,为了验证清除,我先将电路进行分模块调试,把每一部分都仔细检查了一遍,最终发现了与仿真的不同,接线是一样的,不过在真实的接线中可行,在仿真中却不行,最大一个不同之处就在于校时模块,虽然仿真是那种接法可行,不过在实际接线中我采用了另外一种接法。

这次课程设计也再次让我看到理论与实践的差别和联系,理论固然重要,然而我们要在实践中发现错误,并解决错误,也提高了自己的动手能力和实际解决问题的能力。

数电课程设计报告(数字钟的设计)

数电课程设计报告(数字钟的设计)

数电课程设计报告第一章设计背景与要求设计要求第二章系统概述2.1设计思想与方案选择2.2各功能块的组成2.3工作原理第三章单元电路设计与分析3.1各单元电路的选择3.2设计及工作原理分析第四章电路的组构与调试4.1遇到的主要问题4.2现象记录及原因分析4.3解决措施及效果4.4功能的测试方法,步骤,记录的数据第五章结束语5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会附图(电路总图及各个模块详图)参考文献第一章设计背景与要求一.设计背景与要求在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。

设计一个简易数字钟,具有整点报时和校时功能。

(1)以四位LED数码管显示时、分,时为二十四进制。

(2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。

(3)整点报时采用蜂鸣器实现。

每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。

(4)才用两个按键分别控制“校时”或“校分”。

按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。

二.设计要求电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。

在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践容。

通过本次简易数字钟的设计,初步掌握电子线路的设计、组装及调试方法。

即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求。

数字钟电路设计数电课设精

数字钟电路设计数电课设精
设计题目 数字钟电路设计
目录
数字钟的功能要求 数字钟电路系统的组成方框图 主体电路设计 功能扩展电路的设计 整机电路 MCU控制的数字钟
一、数字钟的功能要求
1、基本功能 准确计时,以数字形式显示时、分、
秒的时间; 小时的计时要求为“12翻1”,分和
秒的计时要求为60进位; 校正时间。
2.仿广播电台正点 表秒个位计算器状态 报时电路的设计
仿广播电台正点报时电路的 功能要求是:每当数字钟计时 快要到正点时发出声响,通常 按照4低音1高音的顺序发出间 断声响,以最后一声高音结束 的时刻为正点时刻。
2.仿广播电台正点报时电路
的设计(续)
设4声低音(约500Hz)分别发生在59分51秒、 53秒、55秒及57秒,最后一声高音(约1kHz) 发生在59分59秒,它们的持续时间均为1秒。 如表所示。 由表可得:Q3S1 =“0” 时500Hz输入音响; Q3S1 =“1” 1kHz输入音响。
各使能端功能简介如下(续):
/BI 静态灭零输入使能端。只要BI=0,不论输入 A3A2AlA0为何种电平,译码器4段输出全为低电 平,显示器灭灯(此时/BI/RBO为输入使能)。 / RBO 动态灭零输出端。在不使用/BI功能时,BI/ RBO为输出使能。该端主要用于多个译码器级联 时,实现对无意义的零进行消隐。实现整数位的 零消隐是将高位的RBO接到相邻低位的RBI,实 现小数位的零消隐是将低位的RBO接到相邻高位的 RBI。
6
M S1 M S2 Q 0
12
7
Q1 9
2
MR1 Q2 MR2 Q3
81 1
3
C LK 0
1 4 C LK 1
1
1 3 a4
7 A
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

扬州大学能源与动力工程学院本科生课程设计题目:数字时钟设计课程:数字电子技术基础专业:电气工程及其自动化班级:电气8888班学号:111712345姓名:提莫队长指导教师:年**蒋**完成日期:2013年6月14日总目录第一部分:任务书第二部分:课程设计报告第三部分:设计图纸WOIRD格式第一部分任务书《数字电子技术基础》课程设计任务书一、课程设计的目的本课程是在学完《数字电子技术基础》、《数字电子技术实验》之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实践环节,通过该教学环节,要求达到以下目的:1.使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力;2.使学生基本掌常用电子电路的一般设计方法,提高电子电路的设计和实验能力;3.熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。

二、课程设计的要求1.设计时要综合考虑实用、经济并满足性能指标要求;2.必须独立完成设计课题;3.合理选用元器件;4.按时完成设计任务并提交设计报告。

三、课程设计进度安排1、方案设计;(半天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。

2、电路设计:(一天)根据方案设计框图,并画出详细的逻辑图3、装配图设计:(半天)根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。

同时配以必要的文字说明。

4、电路制作:(两天)对选定的设计,按装配图进行装配,调试实验。

5、总结鉴定:(一天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报。

四、设计题目及内容1、题目:数字时钟电路2、内容:(1)具有“时”“分”的数字显示时钟;(2)“秒”不作数字显示,只使“时”和“分”之间“:”间隔闪亮;(3)具有校分和校时功能;(4)具有整点报时功能(59分50秒开始间歇报时)。

五、设计要求1、用中小型规模集成电路设计出所要求的电路;2、在实验箱上安装、调试出所设计的电路;3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现;4、在EDA编程实验系统上完成硬件系统的功能仿真;5、写出设计、调试、总结报告。

六、器件与器材1、元器件LED共阴数码管BS2074片BCD七段译码器74LS484片十进制计数器74LS16012片四2输入与非门74LS003片双4输入与非门74LS201片六反相器74LS041片双上升沿D触发器74LS741片石英晶体4MHz1个发光二极管2只开关K1、K22只电阻1千欧5只电容0.01微法1只电容10皮法1只2、工具导线、镊子、剪刀、打火机等。

七、使用仪器设备1.YDNDI型数字电子综合设计实验系统;2.装有Multisim软件的PC;3.面包板等。

八、参考文献1、“数字电子技术基础”教材;2、有关“电子技术课程设计指导书”;3、“集成电路特性应用手册”;4、EDA技术使用教程;5、其他。

九、设计总结报告主要内容1、任务及要求;2、方案特点;3、各组成部分及工作原理(应结合框图写);4、单元电路设计与调试;5、总逻辑图;6、总装配图;7、实验仿真结果;8、实验结果分析(画出必要的波形,进行测量精度和误差分析);9、调试中出现问题的解决;10、改进意见及收获体会等。

WOIRD格式第二部分课程设计报告目录1设计任务及要求................................................................................................... . (8)2系统总体设计方案................................................................................................... (9)2.1总体设计方案.............................................................................................. (9)2.2方案特点.............................................................................................. (9)3控制电路设计................................................................................................... (10)3.1控制电路工作原理.............................................................................................. (10)3.2参数计算.............................................................................................. (10)3.3器件选型.............................................................................................. (10)4振荡电路设计................................................................................................... .. (11)4.1振荡电路工作原理.............................................................................................. (11)4.2参数计算.............................................................................................. (11)4.3器件选型.............................................................................................. (11)5计数电路设计................................................................................................... .. (12)5.1计数电路工作原理.............................................................................................. (12)5.2参数计算.............................................................................................. (13)5.3器件选型.............................................................................................. (13)6译码显示电路设计................................................................................................... (14)6.1译码显示电路工作原6.2参数计算.............................................................................................. (14)6.3器件选型.............................................................................................. (14)7系统总体电路设计................................................................................................... . (15)7.1系统总体电路.............................................................................................. (15)7.2电路说明.............................................................................................. (15)8电路调试................................................................................................... .. (16)8.1振荡电路调试及实验结果分析 (16)8.2计数电路调试及实验结果分析 (16)8.3译码显示电路调试及实验结果分析 (16)8.4控制电路调试及实验结果分析 (16)8.5系统联调及实验结果分析..............................................................................................169改进意见及收获体会................................................................................................... (18)10器件明细清单................................................................................................... . (18)参考文献.................................................................................................. (19)7WOIRD格式1设计任务及要求设计一个数字时钟电路,要求具有以下功能:1、具有“时”“分”的数字显示时钟;2、“秒”不作数字显示,只使“时”和“分”之间“:”间隔闪亮;3、具有校分和校时功能;4、具有整点报时功能(59分50秒开始间歇报时)。

相关文档
最新文档