出租车计费器的设计
出租车计费器的设计
出租车计费器的设计出租车计费器是出租车行业的必备设备,其计费准确性直接影响乘客的体验和司机的收入。
因此,合理的出租车计费器设计显得尤为重要。
功能设计从功能角度出发,出租车计费器应该具备以下基本功能:1.显示乘客上车时间和下车时间;2.计算路程费用:包括里程费、时长费、夜间费等;3.显示当前费用累计总额和支付方式;4.支持现金、刷卡、移动支付等多种支付方式;5.显示出租车的车牌号、公司名称等信息。
界面设计出租车计费器的界面设计应该简约明了,符合人性化的设计理念。
可以从以下几个维度设计:显示界面设计出租车计费器显示界面需要清晰明了,突出显示乘客目前应支付的费用和支付方式,同时还需要显示如下信息:1.乘客起点和终点所在地;2.距离和行驶时间的计算;3.当前的里程信息。
操作界面设计出租车计费器的操作界面设计应该简单易懂,乘客和司机均能轻松操作。
例如:1.增加应付费用按钮;2.减少应付费用按钮;3.切换支付方式按钮。
系统设计出租车计费器系统设计需要考虑如下方面:硬件设计出租车计费器的输入设备应该采用触摸屏和按键,输出设备应该选择较为便捷的小型打印机。
此外,还要考虑如下因素:1.设备尺寸和重量;2.人性化交互方式;3.电耗和续航能力等。
软件设计出租车计费器的软件设计主要包括计费系统和支付系统两部分。
计费系统应该包括:1.里程计算器;2.时间计算器;3.费用管理系统。
支付系统包括:1.现金支付(硬币和纸币);2.银行卡支付(POS机);3.移动支付(微信、支付宝等)。
安全设计出租车计费器的安全设计是保障乘客和司机利益的基础,主要包括硬件和软件两部分。
硬件安全需要考虑如下问题:1.设备的防盗、防损;2.设备的密封和防水。
软件安全需要考虑如下问题:1.数据的加密和传输安全;2.系统的防病毒、防篡改等机制。
总结出租车计费器是现代出租车服务的重要组成部分,合理的设计对于提升服务质量和改善用户体验至关重要。
设计时,需要综合考虑功能、界面、系统和安全等各个方面,确保出租车计费器的使用安全、便捷和智能化。
出租车自动计费器的设计原理及优化方案
出租车自动计费器的设计原理及优化方案引言:出租车自动计费器是现代出租车运营中必不可少的一个装置,它能够准确地计算乘客应付的费用,并提供便利的支付方式。
本文将通过介绍出租车自动计费器的设计原理以及优化方案,帮助读者更好地了解该装置并思考如何提高其功能和效率。
一、出租车自动计费器的设计原理1. 准确测量里程出租车自动计费器通常利用车辆上安装的里程传感器测量车辆行驶的里程,包括实时行驶距离和行驶时间。
2. 考虑计费标准出租车自动计费器会根据城市或地区的计费标准计算乘客的费用。
计费标准通常包括起步价、里程费和时间费等多个维度。
3. 考虑附加费用出租车自动计费器还会考虑一些额外的费用,如过路费、停车费和燃油附加费等。
这些附加费用通常会根据车辆行驶路径和实际发生的情况自动计算。
4. 提供支付方式现代出租车计费器通常支持多种支付方式,如现金支付、刷卡和移动支付等。
根据乘客的选择,计费器能够提供相应的支付方式,并记录支付信息。
二、出租车自动计费器的优化方案1. 提升计费的准确性为了提高计费的准确性,可以在出租车自动计费器中使用更为精准的里程传感器,并结合卫星定位系统(GPS)来实时监测车辆的位置和行驶路径。
这样可以避免计费器在复杂道路环境下的误差,并提供更准确的里程计算。
2. 优化计费标准考虑到不同地区和不同时间段的交通状况和需求,可以针对性地优化出租车计费标准。
例如,可以根据交通拥堵情况来调整时间费的计算标准,或者根据车辆类型和燃油消耗来调整里程费的计算标准,使得计费更加公平和合理。
3. 加强附加费用的计算和记录出租车自动计费器可以与城市交通管理部门的数据库相连接,实时获取过路费和停车费等附加费用的信息,并根据车辆行驶路径和实际发生情况自动计算。
同时,计费器应具备记录附加费用信息的功能,方便车主和乘客核查相关费用。
4. 引入更多支付方式随着电子支付的普及,出租车自动计费器可以引入更多的支付方式,如支付宝、微信等移动支付,并且支持二维码扫描或NFC技术进行支付。
出租车自动计费器的设计方案
出租车自动计费器的设计方案自动计费器是出租车行业中必不可少的工具之一,它的设计方案对于提高出租车行业的效率和服务质量至关重要。
本文将介绍一个出租车自动计费器的设计方案,以满足行业的需求。
首先,出租车自动计费器应该具备准确计算乘客乘车费用的功能。
它应能够根据车辆的行驶路程、时间以及夜间加价等因素自动计算费用,并显示在计费器的屏幕上。
计费器的算法应精确可靠,以避免因计费错误导致的纠纷。
其次,出租车自动计费器的设计应该考虑便捷性和易用性。
计费器应有清晰易懂的界面和操作按钮,司机和乘客能够迅速明了地了解当前费用和支付方式。
此外,计费器应该支持多种支付方式,如现金、刷卡和移动支付等,以满足不同乘客的需求。
另外,出租车自动计费器应具备实时定位功能。
通过与GPS导航系统的结合,计费器可以准确获取车辆的位置信息,并根据不同地区的收费标准自动进行计费。
这样不仅可以避免司机的作弊行为,还可以提供乘客行程的准确记录,方便后续的服务投诉和纠纷解决。
在安全方面,出租车自动计费器应具备防拆功能和数据保护功能。
计费器的设计应考虑到在恶劣的工作环境中仍能正常运行,并能够防止被破坏或盗取。
同时,计费器应有密码保护和数据加密功能,以确保司机和乘客的个人信息和交易数据的安全。
为增加出租车自动计费器的实用性和便利性,可以考虑加入车辆监控和导航导航功能。
车辆监控功能可以帮助车辆管理部门实时获取车辆的行驶状态和位置信息,以便调度和监管;导航功能则可以帮助司机选择最短路径和避开拥堵路段,提高行程效率。
此外,出租车自动计费器还应具备自动上传数据的功能。
它可以将每次乘车的费用、行程、时间等数据自动上传至管理平台或相关部门,以便实时统计和分析。
这样可以提供经营者和有关部门的数据支持,并用于行业监管和评估。
综上所述,一个出租车自动计费器的设计方案应包括准确计算费用、便捷易用、实时定位、安全防护、车辆监控和数据上传等功能。
这样的设计方案将能够满足出租车行业的需求,提高行业的效率和服务质量。
EDA简易出租车计价器设计
程后自动归零。
(4) 译码显示模块
Page 4
该模块经过8选1选择器将计费数据(4位BCD码)、
计时数据(2位BCD码)、计程数据(2位BCD码)动态
显示输出。其中计费数据jifei4~jifei1送入显示译码模块进
行译码,最后送至以百元、十元、元、角为单位对应的数
码管上显示,最大显示为999.9元;计时数据送入显示译
码模块进行译码,最后送至以分为单位对应的数码管上显
示,最大显示为59分;计程数据送入显示译码模块进行译
码,最后送至以公里为单位的数码管上显示,最大显示为
99公里。其系统组成框图如图2.1所示。
Page 5
时钟信 分频器 计费
号
显
等待信
号
控
计时
公里脉
制
示
冲
器
计费/
计程
复位
Page 6
3 出租车计费器的层次化设计方案
(1) 分频模块 分频模块对频率为240Hz的输入脉冲进行分频,产生频率为16Hz、 15Hz、1Hz的3种频率。该模块产生频率信号用于计费,每个1Hz脉 冲为0.1元计费控制,15Hz为1.5元的计费控制,16Hz信号1.6元计费 控制。 (2) 控制模块 计价器控制模块主要完成对计价器状态的控制。
Page 3
(3) 计量模块
计量模块完成计价、计时和计程功能。
计价部分:行程在3公里内,而且等待累计时间小于2分钟,起步费为
10元;3公里外以每公里按1.6元计费,等待累计时间超过2分钟按每分钟1.5
元计费。
计时部分:计算乘客的等待累计时间。计时器的量程为59分钟,满量
程后自动归零。
计程部分:计算乘客所行驶的公里数。计程器的量程为99千米, 满量
出租车自动计费器的设计与实现
出租车自动计费器的设计与实现自动计费器是现代出租车行业中必不可少的设备,它能够准确计算乘客的乘车时间和里程,并根据预设的费率规则自动计算费用。
本文将介绍出租车自动计费器的设计与实现要点。
一、硬件设计与实现1. MCU(微控制器单元)的选型:选择适当的MCU比如ARM、AVR等,并根据需求确定其性能、接口等要求。
2. 液晶显示屏:选择合适尺寸的液晶显示屏,用于显示计程信息、费用、时间等。
3. 按键与输入接口:设计合理布局的按键接口,用于乘客输入目的地、选择支付方式等信息。
4. 里程传感器:选择合适的里程传感器,用于准确测量车辆行驶的里程数。
5. 车速传感器:选择合适的车速传感器,用于实时监测车辆的速度。
6. GPS模块:选择合适的GPS模块,用于获取车辆位置信息。
7. 蓝牙或其他无线通信模块:选择合适的无线通信模块,用于与其他设备进行数据传输,比如与支付系统对接等。
二、软件设计与实现1. 系统架构设计:按照面向对象的原则进行系统架构设计,将系统分为不同的模块,如计费模块、路线规划模块、支付模块等。
2. 数据结构设计:设计合理的数据结构,用于存储乘客输入的目的地、车辆位置、计费规则等信息。
3. 乘车计费算法设计:根据预设的计费规则,设计相应的计费算法,包括里程费、时间费、额外费用等的计算。
4. 路线规划算法设计:结合GPS模块获取的车辆位置信息,设计路线规划算法,提供乘客最优的行驶路线。
5. 用户界面设计:设计直观、友好的用户界面,方便乘客输入目的地、选择支付方式等操作。
6. 数据传输与支付对接:设计数据传输协议,确保计费信息可安全传输。
同时,对接支付系统,实现自动计费与支付的一体化。
三、功能与实现考虑1. 里程计费:利用里程传感器实时获取车辆行驶里程数,并根据预设的计费规则计算里程费用。
2. 时间计费:根据车辆行驶的总时间,结合预设的时间费率计算时间费用。
3. 额外费用:根据特殊情况,如夜间加价、高峰期加价等,设计额外费用计算的规则。
出租车计价器设计
出租车计价器设计首先,我们需要确定出租车计价器的基本功能。
假设出租车计价器需要包含计量里程、计时和基础费用三个主要功能。
计量里程功能是指出租车计价器能够实时记录车辆行驶的里程数,通常通过车辆上的里程计或GPS设备来实现。
计量里程功能的设计需要确保准确度和稳定性,同时能够准确地显示当前行驶的里程数。
计时功能是指出租车计价器能够实时记录车辆行驶的时间,通常通过内置的时钟来实现。
计时功能的设计需要确保准确度和稳定性,同时能够准确地显示当前行驶的时间。
基础费用是指乘客乘坐出租车需要支付的起步价或者基本运价。
基础费用的设计需要根据当地的法规和市场需求来确定,并且计价器需要能够准确地显示当前的基础费用。
其次,我们可以考虑加入一些附加功能,以提高出租车计价器的用户体验和功能性。
例如,可以加入等候计时功能,即当出租车在拥堵的路段等待乘客时,计价器可以自动启动计时功能,并按照一定的费率计算等候费用。
另外,可以加入夜间费用功能,即在夜间或特定时间段内乘坐出租车的费用会有所增加。
此外,还可以加入优惠计费功能,即根据乘车时间和里程的不同,推出一些优惠政策,例如,晚上乘坐出租车费用折扣或多次搭乘优惠等。
此外,考虑到出租车计价器的安全性,我们应该确保计价器的数据传输和存储的安全性,防止数据被篡改或泄露。
出租车计价器可以采用加密技术,确保数据传输的安全性,同时可以备份计价器的数据,以防止数据丢失。
在设计出租车计价器的外观时,可以采用简洁、易操作的设计风格,具备清晰的显示屏和易于按压的按钮。
显示屏可以显示当前行驶的里程数、时间、基础费用和附加费用等信息,并且可以实时更新。
按钮可以用于用户输入相关信息,例如确认乘车起点和终点、选择支付方式等。
总之,出租车计价器的设计需要充分考虑实际使用场景和用户需求,并具备准确度、稳定性、安全性和易用性等特点。
通过合理地设计功能和外观,出租车计价器可以更好地满足乘客和司机的需求,提高乘车体验和支付便利性。
出租车自动计费器的设计原理分析
出租车自动计费器的设计原理分析出租车自动计费器是一种应用于出租车行业的设备,用于准确计算乘客的车费并提供相关信息。
它是出租车行业中不可或缺的一部分,通过自动化计费系统,确保乘客和司机之间的交易公平、透明。
出租车自动计费器的设计原理可以大致分为以下几个方面:1. 计价规则与费率表:出租车自动计费器的设计需要根据当地的法规和政策,在其内部设置相应的计价规则和费率表。
这些规则和费率表通常由政府部门制定,并根据当地的交通、燃油价格等因素进行调整。
计费器根据行驶距离、时间、等候时间等因素来计算车费,并根据费率表提供准确的计费信息。
2. 里程计算与定位系统:自动计费器通过里程计算,精确地测量出租车行驶的距离。
这通常通过车辆上安装的里程传感器来实现,它可以实时监测车辆的行驶状况,并将数据传输给计费器进行计算。
同时,定位系统(如GPS)也被应用于自动计费器中,以便准确计算出租车行驶的距离和时间。
3. 时间计算与时钟系统:除了里程计算,自动计费器还需要正确计算出租车的行驶时间。
为此,计费器内置了精确的时钟系统,可以准确记录出租车的行驶开始和结束时间,以便计算行驶时间。
时钟系统通常会自动校准以确保准确性。
4. 显示器与用户界面:出租车自动计费器通常会配备一个显示器和用户界面,用于向乘客显示当前的车费和其他相关信息。
乘客可以根据显示器上的信息来了解乘车费用,并可以通过用户界面进行一些操作,如选择付款方式等。
5. 结算与支付:自动计费器设计需要支持多种支付方式,如现金、刷卡等。
计费器通过连接支付终端或电子支付平台,实现与支付系统的集成,以便乘客可以方便地完成支付。
同时,自动计费器还需记录每一笔交易的信息,以便司机和乘客在需要时进行查阅和核对。
除了以上的设计原理,出租车自动计费器还需要考虑耐用性、安全性和易使用性等因素。
例如,设计师需要选择高品质的材料来保证计费器的稳定性和耐用性,还需要考虑防水、防尘等特性以适应车辆使用环境。
出租车自动计费器的设计原则与方法
出租车自动计费器的设计原则与方法出租车自动计费器是一种用于计算乘客乘坐出租车的费用的设备,设计合理的自动计费器能够提高出租车计费的准确性和公平性,并提升乘客对出租车服务的满意度。
本文将探讨出租车自动计费器的设计原则与方法。
首先,出租车自动计费器的设计原则应包括以下几个方面。
首先,计费器应具有高度准确性和可靠性,确保计费的公正与公平。
其次,计费器应具备简单易懂的界面和操作流程,方便乘客使用,尤其是对于特殊群体,如老年人和外国游客等。
第三,计费器应具备可扩展性和适应性,能够应对未来可能发生的计费规则或政策的变化。
最后,计费器的设计应参考人因工程学原理,如易于操作的按钮、清晰可辨识的显示屏等,以提高用户体验。
其次,设计出租车自动计费器的方法可以包括以下几点。
首先,确定计费规则和费率。
这是设计计费器的基础,需要根据当地政府的规定和市场需求来制定一套合理的计费规则和费率。
其次,选择合适的硬件设备。
计费器需要包括显示屏、计时器、计量器、支付接口等功能模块,这些硬件设备的选择应基于可靠性、耐用性和成本效益等因素进行评估。
第三,设计用户界面和操作流程。
用户界面应简洁明了,界面元素的排布和颜色应与用户习惯和认知相匹配,操作流程应简单易懂,减少用户操作的复杂度和可能出现的错误。
最后,进行系统测试和验证。
在计费器设计完成后,需要进行全面的系统测试,包括功能性测试、用户体验测试和安全性测试等,确保计费器能够正常运行且满足设计要求。
除了以上的设计原则与方法,还有一些值得注意的问题。
首先,计费器应具备安全防护措施。
例如,防止计费器被恶意篡改或操纵,保护用户的隐私信息和支付安全等。
其次,计费器应具备故障诊断和故障恢复功能,能够及时发现并解决设备故障,确保计费器的可靠性和连续性。
最后,计费器的设计应注意节能环保,减少对环境的负面影响。
总的来说,出租车自动计费器的设计应遵循准确性、公平性、易用性和可靠性等原则,并通过制定合理的计费规则、选择合适的硬件设备、设计用户友好的界面和操作流程等方法来实现。
出租车计程计价器的设计
出租车计程计价器的设计首先,出租车计程计价器应该具备精确测量里程和时间的功能。
它可以通过与车辆里程表和时钟的连接来获取车辆的里程和时间信息。
在设计时,需要确保准确测量里程和时间的精度,以避免误差导致费用计算不准确。
其次,出租车计程计价器应该能够根据里程和时间信息自动计算费用。
根据不同地区和规定的价格规则,可以将费用进行分类,如起步价、里程费、时间费、夜间费等。
因此,在设计中应该提供一个可配置的费率表,以便根据不同的价格规则进行计算。
同时,还应考虑到不同的车型和服务级别可能存在不同的价格设置,因此还需提供可配置的价格参数。
为了使使用人员能够直观地了解当前的费用和行程信息,设计中应该提供一个清晰易读的显示界面。
可以使用液晶显示屏来显示行程距离、时间、费用及相关信息。
此外,还可以在显示屏上设计一个简单的操作界面,使乘客能够方便地进行各类操作,例如开始计费、查询行程信息等。
为了提供便利的支付方式,出租车计程计价器应该具备多种支付方式的支持。
例如,可以支持现金支付、刷卡支付和移动支付等。
在设计时,还应该考虑到支付方式的安全性和便捷性,确保支付过程简单安全,并能适应不同的支付需求。
在出租车计程计价器的设计中,还应该考虑到人性化的交互和易于理解的界面设计。
例如,在行程结束后,可以通过显示屏上的提示信息提醒乘客付款和签署交易凭证。
另外,为了提高用户体验,还可以提供多语言支持,以满足不同用户的需求。
同时,出租车计程计价器还可以具备一些附加功能,如导航功能、出租车调度功能等,以提供更多的服务和便利性。
这些附加功能可以进一步提高出租车服务的质量和效率。
总之,出租车计程计价器的设计应该具备准确测量里程和时间、自动计算费用、清晰易读的显示界面、多种支付方式的支持、人性化交互和附加功能等特点。
通过合理的设计和功能配置,可以提高出租车服务的质量和用户体验。
出租车计价器设计
出租车计价器设计一、设计目的出租车计价器是指用于出租车计算乘客乘车费用的仪器或装置,其设计目的是为了提供乘客和驾驶员之间的公平交易和计费机制。
计价器需要准确记录乘车时间和里程数,并根据规定的计费标准计算和显示费用,以保证乘客支付公正的费用,同时也为驾驶员提供准确的运营数据。
二、设计要求1.准确计算:计价器需要准确记录乘车时间和里程数,并根据规定的计费标准计算费用。
计算过程需精确无误,避免出现漏计、重计或错误计算的情况。
2.易操作:计价器应具备简单直观的操作界面,方便驾驶员进行操作和读取信息。
操作流程和按钮设置应符合直觉,减少操作难度和误操作。
3.易读显示:计价器应具备清晰明确的显示界面,能够直观地显示乘车时间、里程数和费用等相关信息。
显示屏应有合适的亮度和对比度,以适应各种光照条件下的读取。
4.稳定性和耐用性:计价器需要具备良好的稳定性和耐用性,能够在长时间运营和多种环境条件下保持正常工作。
抗干扰、耐振动和防水设计都是需要考虑的因素。
5.数据保存和传输:计价器应具备数据保存和传输功能,能够存储和导出乘车数据。
数据传输方式可以考虑使用USB接口或者无线传输等方式。
6.合法合规:计价器应符合相关法律法规的要求,包括计费标准、计费规则、计量准确性等方面的要求。
三、设计内容1.硬件设计:硬件设计主要包括以下组成部分:-显示屏:需使用亮度和对比度较好的显示屏,可采用液晶显示屏,具备一定的抗干扰能力,以确保信息清晰可读。
-按钮:设有各种操作按钮,例如开始乘车、结束乘车、改变计费模式等,按钮应具备防误触设计,以避免误操作。
-里程传感器:使用里程传感器准确测量行驶里程数。
-时间计算器:使用准确的时间计算器计算乘车时间。
-存储器:用于保存乘车数据,可以设置一定的存储容量,以保证数据的完整性。
-硬件防水、抗干扰设计:计价器需要具备一定程度的防水和抗干扰能力,以适应各种环境条件下的使用。
2.软件设计:软件设计主要包括以下内容:-计费规则设置:根据规定的计费标准设置计费规则,包括起步价、里程单价、时间单价、低速补贴等。
出租车计价器设计报告
出租车计价器设计报告一、引言二、需求分析1.收费标准:根据国家和地方的规定,出租车费用通常包括起步价、里程费和时间费。
2.里程计算:计价器需要能够准确计算起点和终点之间的距离。
3.时间计算:计价器需要能够准确计算乘坐时间,以确定时间费用。
4.显示界面:计价器需要具备清晰易读的显示界面,能够显示当前的车费、里程和时间。
5.操作简便:计价器需要提供用户友好的操作界面,方便乘客和司机操作。
6.故障自检:计价器需要具备自动故障检测和报警功能,以保证正常工作。
三、设计方案1.硬件设计:(1)显示屏:使用液晶显示屏,具备高清显示和低功耗的特点,以确保清晰易读的显示界面。
(2)里程测量:采用GPS定位技术测量车辆的实时位置,并根据起点和终点的坐标计算里程。
(3)时间计算:计价器内置实时时钟,能够记录乘坐的时间,并根据时间段进行费用计算。
(4)按键:提供简单明了的按键,用于用户的选择和操作。
(5)故障检测:利用传感器检测故障情况,并在检测到故障时发出警报。
2.软件设计:(1)界面设计:设计直观简洁的用户界面,显示当前的车费、里程和时间,并提供用户选择的接口。
(2)公式计算:根据收费标准,设计相应的公式进行费用计算。
(3)自动故障检测:通过编程实现自动故障检测,并在检测到故障时,及时报警或提醒用户。
(4)数据存储:将计价器的数据存储在内部存储器中,便于后续的数据分析和管理。
四、实施方案1.硬件实施:(1)购买和组装计价器所需的硬件设备,如液晶显示屏、GPS定位模块、实时时钟等。
(2)开发或选择适合的电路板,将相关硬件设备连接起来,并进行测试和调试。
(3)将电路板和其他硬件设备安装在出租车中,确保稳定工作。
2.软件实施:(1)根据设计方案,开发计价器的软件程序,包括界面设计、公式计算、自动故障检测和数据存储等功能。
(2)将软件程序烧录到计价器的控制芯片中,并进行测试和调试。
(3)部署计价器的软件程序到所有出租车计价器上,以确保统一的功能和用户体验。
出租车自动计费器课程设计报告
电子课程设计——出租车自动计费器出租车自动计费器一、 设计任务与要求出租车自动计费器是根据客户用车的实际情况而自动显示用车费用的数字仪表。
仪表根据用车起价、行车里程两项求得用车的总费用,通过数码自动显示。
1. 设计一个自动计费器,具有行车里程计费,起价等部分。
用四位数码管显示总金额,最大金额是99.99。
2. 行车起价为8.00元,超过5公里为1.6元/公里(60公里每小时)二、总体框图模块功能与设计思路:计费的电路将汽车行驶的里程数转换成与之成正比的脉冲个数,在出租车转轴上加装传感器,以便获得“行驶里程信号”。
脉冲源为电路提供的脉冲的周期与行车里程正比。
起价部分电路通过脉冲源加在八百进制计数器上,在800个脉冲之前显示器一直显示0800,当八百进制计数器显示799时通过门电路控制使其停止计数(此时到达五公里)。
然后160进制计数有效,每计一个数,10000进制计数器也计一个数,显示器的数值加一。
三、器件选择与功能简介元器件名称数量备注555定时器 1 构成脉冲电路74LS190 8 十进制计数器74LS191 1 十六进制计数器行车里程 脉冲源起价10000进制计数器脉冲源160进制计数800进制计数器显示器74LS190逻辑符号 74LS191逻辑符号U174LS190NA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD 7~RCO 13MAX/MIN 12CLK 14~CTEN 4~LOAD1174ls190外接引线排列 74ls191外接引线排列单刀单掷开关 1 控制总电路单刀双掷开关 1 控制10000进制的置数端非门 1 构成八百进制计数器或门 2 控制八百进制计数器停在799的位置七输入与门 1 当799时输出高电平,八百进制计数器不再计数四位数码显示器 9 显示数字电阻 2 1个367ohm 1个4、7kohm电容 1 1个100uf 1个1 uf电源电压vcc 1 5v二输入与门 1控制800进制计数器到达799时,10000进制计数器开始计数U174LS191NA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD 7~RCO 13MAX/MIN 12CLK 14~CTEN 4~LOAD1174ls190时序图 74ls191时序图74ls190内部原理图 74ls191内部原理图74LS190是可预置数同步可逆加减十进制计数器,符号如图所示,它具有异步置数端LOAD,加减控制端D/U和计数控制端CTEN,为方便级联,设置了两个级联输出端RCO和MAX/MIN.;当加减控制端等于1时减计数,否则为加计数;当异步置数端等于0时置数,当计数控制段为1时禁止计数,为0时,四个触发器将在时钟上升沿开始计数;当计数器加计数,计数值为9或减计数,计数值为0时,MAX/MIN端输出与时钟周期相同的正脉冲,而RCO产生一个宽度为时钟低电平宽度的低电平,74LS191为四位二进制即十六进制同步加法计数器,其功能表与74LS190相同,如图所示输入输出CTEN LOAD D / U D C B A CPX O X d c b a X 异步预置O 1 O 加计数O 1 1 减计数11 XX 保持图.74LS190、191功能表555定时器555 芯片是定时器,,是一种将数字功能和模拟功能集为一体的中规模集成电路。
课程设计-出租车计费器
出租车计费器一、设计任务出租车自动计费器是根据客户用车的实际情况而自动计算、显示车费的数字表。
数字表根据用车起步价、行车里程计费及等候时间计费三项显示客户用车总费用,打印单据,还可设置起步、停车的音乐提示或语言提示。
1.自动计费器具有行车里程计费、等候时间计费和起步费三部分,三项计费统一用4位数码管显示,最大金额为99.99元。
2.行车里程单价设为1.20元/km,等候时间计费设为1.5元/10分钟,起步费设为7.00元。
要求行车时,计费值每公里刷新一次;等候时每10分钟刷新一次;行车不到1km或等候不足10分钟则忽略计费。
3.在启动和停车时给出声音提示。
二、设计方案采用计数器电路为主实现自动计费。
分别将行车里程、等候时间都按相同的比价转换成脉冲信号,然后对这些脉冲进行计数,而起价可以通过预置送入计数器作为初值。
行车里程计数电路每行车1km输出一个脉冲信号,启动行车单价计数器输出与单价对应的脉冲数,例如单价是1.20元/km,则设计一个一百二十进制计数器,每公里输出120个脉冲到总费计数器,即每个脉冲为0.01元。
等候时间计数器将来自时钟电路的秒脉冲作六百进制计数,得到10分钟信号,用10分钟信号控制一个一百五十进制计数器(等候10分钟单价计数器)向总费计数器输入150个脉冲。
这样,总费计数器根据起步价所置的初值,加上里程脉冲、等候时间脉冲即可得到总的用车费用。
三、各单元电路设计1. 里程计费电路设计里程计费电路里程计费电路;安装在与汽车轮相接的涡轮变速器上的磁铁使干簧继电器在汽车每前进10m 闭合一次,即输出一个脉冲信号。
汽车每前进1km则输出100个脉冲。
此时,计费器应累加1km的计费单价,本电路设为1.20元。
在图3中,干簧继电器产生的脉冲信号经施密特触发器整形得到CP0。
CP0送入由两片74HC161构成的一百进制计数器,当计数器计满100个脉冲时,一方面使计数器清0,另一方面将基本RS触发器的Q1置为1,使74HC161(3)和(4)组成的一百八十进制计数器开始对标准脉冲CP1计数,计满180个脉冲后,使计数器清0。
出租车计时器设计
出租车自动计费器设计一.设计要求1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。
99元;2、行车里程单价1元/公里,等候时间单价0。
5元/10分钟,起价3元(3公里起价)均能通过人工输入。
3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。
例如单价是1。
0元/公里,则脉冲当量为0。
01元/脉冲。
4、用LED显示行驶公里数,两个数码管显示收费金额。
二.原理描述利用层次化设计理论,将设计问题自顶向下可分为分频模块,控制模块,计量模块和显示模块。
系统框图如下图1:图12.1分频模块分频模块对频率为240Hz的输入脉冲进行分频,得到15Hz,16Hz,1Hz的3种频率,该模块产生频率信号用于计费。
2.2计量控制模块计量控制模块是出租车自动计费器系统的主体部分,该模块主要完成等待计时功能,计价功能和计程功能。
计时功能的主要任务是计算乘客的等待累计时间,计时器的量程为59分,满量程自动清零。
计程功能的主要任务是计算乘客所行使的公里数,计程器的量程为99公里,满量程自动归零。
三.实验程序3.1出租车计价器VHDL程序通过VHDL语言的顺序语句根据一个或者一组条件选择某一特定的执行通道,生成计费数据,计时数据和里程数据。
1. 出租车计价器VHDL程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity taxi isport ( clk_240 :in std_logic; --频率为240Hz的时钟start :in std_logic; --计价使能信号stop:in std_logic; --等待信号fin:in std_logic; --公里脉冲信号cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据km1,km0:out std_logic_vector(3 downto 0); --公里数据min1,min0: out std_logic_vector(3 downto 0)); --等待时间end taxi;architecture behav of taxi issignal f_15,f_16,f_1:std_logic; --频率为15Hz,16Hz,1Hz的信号signal q_15:integer range 0 to 15; --分频器signal q_16:integer range 0 to 14; --分频器signal q_1:integer range 0 to 239; --分频器signal w:integer range 0 to 59; --秒计数器signal c3,c2,c1,c0:std_logic_vector(3 downto 0); --制费用计数器signal k1,k0:std_logic_vector(3 downto 0); --公里计数器signal m1:std_logic_vector(2 downto 0); --分的十位计数器signal m0:std_logic_vector(3 downto 0); --分的个位计数器signal en1,en0,f:std_logic; --使能信号beginfeipin:process(clk_240,start)beginif clk_240'event and clk_240='1' thenif start='0' then q_15<=0;q_16<=0;f_15<='0';f_16<='0';f_1<='0';f<='0';elseif q_15=15 then q_15<=0;f_15<='1'; --此语句得到频率为15Hz的信号else q_15<=q_15+1;f_15<='0';end if;if q_16=14 then q_16<=0;f_16<='1'; --此语句得到频率为16Hz的信号else q_16<=q_16+1;f_16<='0';end if;if q_1=239 then q_1<=0;f_1<='1'; --此语句得到频率为1Hz的信号else q_1<=q_1+1;f_1<='0';end if;if en1='1' then f<=f_15; --此语句得到计费脉冲felsif en0='1' then f<=f_16;else f<='0';end if;end if;end if;end process;main:process(f_1)beginif f_1'event and f_1='1' thenif start='0' thenw<=0;en1<='0';en0<='0';m1<="000";m0<="0000";k1<="0000";k0<="0000";elsif stop='1' thenif w=59 then w<=0; --此语句完成等待计时if m0="1001" then m0<="0000"; --此语句完成分计数if m1<="101" then m1<="000";else m1<=m1+1;end if;else m0<=m0+1;end if;if m1&m0>"0000001"then en1<='1'; --此语句得到en1使能信号else en1<='0';end if;else w<=w+1;en1<='0';end if;elsif fin='1' thenif k0="1001" then k0<="0000"; --此语句完成公里脉冲计数if k1="1001" then k1<="0000";else k1<=k1+1;end if;else k0<=k0+1;end if;if k1&k0>"00000010" then en0<='1'; --此语句得到en0使能信号else en0<='0';end if;else en1<='0';en0<='0';end if;cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0; --费用数据输出km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0; --公里数据、分钟数据输出end if;end process main;jifei:process(f,start)beginif start='0' then c3<="0000";c2<="0011";c1<="0000";c0<="0000";elsif f'event and f='1' thenif c0="1001" then c0<="0000"; --此语句完成对费用的计数if c1="1001" then c1<="0000";if c2="1001" then c2<="0000";if c3<="1001" then c3<="0000";else c3<=c3+1;end if;else c2<=c2+1;end if;else c1<=c1+1;end if;else c0<=c0+1;end if;end if;end process jifei;end behav;该程序包含3个进程模块。
出租车计费器的设计
出租车计费器的设计一、硬件设计:1.计费器的结构设计:计费器应该具有合理的结构设计,方便在出租车内部进行固定安装,同时具备防震、防水等特性,以应对复杂的车内环境。
计费器还应具备易于维修和维护的特点,方便后期管理和更新。
2.显示屏设计:计费器的显示屏需要能够显示出租车费用、里程、时间和其他相关信息。
显示屏应采用高对比度的技术,以确保在各种光照条件下都能清晰可见。
同时,显示屏还应具备适合司机观看的尺寸和角度,方便司机在行驶过程中获取所需信息。
3.按键设计:计费器的按键应具有合理的布局和大小,方便司机操作。
按键的触感应该舒适,防止因按键设计不佳导致司机误操作。
计费器还可以设置额外的功能按键,如选择计费模式、调节亮度等,以提高司机的使用体验。
4.通讯模块设计:计费器需要与出租车的其他系统进行通信,如车载定位系统、计价仪等。
通讯模块应具备稳定的通信能力,支持不同通信协议,并且能够快速响应和处理来自其他系统的信息。
通讯模块还应具备保密性和安全性,防止信息泄露和非法侵入。
二、软件设计:1.计费算法设计:计费算法是出租车计费器最核心的功能之一、计费器应能够根据里程、时间和其他因素进行准确的计费。
计费算法需要考虑不同的计费模式、起步价、里程费、等候费等因素,并且具备合理的计费规则,以确保计费的公平和准确性。
2.数据处理逻辑设计:计费器需要对输入的数据进行处理和分析,以提供司机所需的信息。
数据处理逻辑应能够实时处理输入的里程、时间和其他相关数据,并更新计费结果和显示屏的内容。
同时,数据处理逻辑还应具备容错性,确保在异常情况下也能正常运行。
3.用户界面设计:计费器的用户界面应具备友好和易用的特点。
用户界面设计应考虑司机的使用习惯和特点,以简化操作步骤和提高操作效率。
计费器的用户界面还可以根据不同的需求设置不同的显示模式,如夜间模式、高亮度模式等,以满足司机的个性化需求。
总结:出租车计费器的设计需要考虑硬件和软件两个方面,合理的硬件设计可以提高计费器的可靠性和稳定性;而科学的软件设计可以保证计费器的准确性和易用性。
出租车自动计费器的设计优化研究
出租车自动计费器的设计优化研究出租车自动计费器是一种用于计算乘客乘车费用的设备,其设计对于提供准确、高效和公正的计费服务至关重要。
为了优化出租车自动计费器的设计,我们可以从以下几个方面进行研究和改进。
一、计费算法的优化出租车自动计费器的核心功能是根据行驶距离和时间计算乘客的费用。
为了保证计费的准确性和公正性,我们需要优化计费算法。
首先,计费器应能准确计算车辆的行驶距离,可以通过使用全球定位系统(GPS)等技术实现。
其次,计费器应能准确计算车辆的行驶时间,可以通过与车辆的速度传感器结合使用实现。
最后,计费器应能根据设定的价格标准进行费用计算,确保计费的公正性。
二、用户界面的改进出租车自动计费器的用户界面应简洁清晰,方便乘客使用。
为了提供更好的用户体验,我们可以考虑以下改进。
首先,显示屏应具有良好的可视性,适应各种光线条件下的使用。
其次,界面设计应简单明了,显示行程信息和费用明细等必要信息,并以易于理解的方式展示。
此外,可以增加语音提示功能,帮助乘客更方便地了解行程和费用情况。
三、支付方式的多样化为了提高乘客的支付便利性,可以考虑增加出租车自动计费器支持的支付方式。
除了传统现金支付外,可以提供电子支付选项,例如使用移动支付平台或银行卡支付。
这不仅可以方便乘客,还可以提高支付的安全性和效率。
四、数据记录和管理功能的加强出租车自动计费器可以具备数据记录和管理功能,用于记录每一次行程的相关数据和费用明细。
这样的功能可以提供数据支持给出租车公司和监管部门,帮助其进行业务管理和监管。
此外,还可以使用这些数据进行统计分析,为决策提供参考。
五、安全性和可靠性的提升出租车自动计费器应具备较高的安全性和可靠性,以保障乘客权益和出租车行业的正常运营。
为了提升安全性,可以加强对计费器硬件和软件的安全防护措施,防止被非法篡改或操控。
为了提升可靠性,可以加强计费器的质量控制和维护管理,确保其稳定运行以及准确计费。
六、反馈机制的优化出租车自动计费器应具备良好的反馈机制,以便乘客和驾驶员及时了解费用情况并提出异议。
出租车计程计价器的设计
出租车计程计价器的设计在硬件设计方面,计程计价器需要具备以下功能:1.车辆定位系统:通过全球卫星定位系统(GPS)来准确获取车辆的位置信息,以便计算行驶里程。
2.计时系统:通过内置时钟来记录乘客使用的出租车的时间,以便计算时间费用。
3.车辆速度检测系统:通过车速传感器来测量车辆的速度,从而在行驶过程中进行动态计量。
4.车辆载客检测系统:通过车载传感器来检测车辆上的乘客数量,以便计算附加费用。
5.显示屏和按钮:设计良好的用户界面,其中包含显示屏和按钮,方便乘客查看费用和指导司机进行操作。
在软件设计方面,需要实现以下功能:1.行驶费用计算:根据行驶里程和车速来计算行驶费用,可以根据不同的区域和时间段设置不同的费用标准。
2.时间费用计算:根据乘客使用的出租车的时间来计算时间费用,可以根据不同的时间段设置不同的费用标准。
3.附加费用计算:根据乘客数量来计算附加费用,可以设置一定的基准人数和超过基准人数的费用。
4.数据存储与传输:将所有行驶记录和费用信息存储在内部存储器中,方便之后的数据分析和报告生成。
同时,可以通过无线网络传输数据,以便数据监控和管理。
在用户界面设计方面,需要考虑以下因素:1.显示屏设计:显示屏需要足够大,能够清晰显示当前的行驶里程、时间、费用等信息,并能够进行动态更新。
2.按钮和控制面板设计:设计易于操作的按钮和控制面板,方便司机进行计价器的操作和调整。
3.报表和票据打印:设计支持报表和票据打印的功能,方便司机和乘客获取相应的费用明细信息。
此外1.系统准确性:计程计价器需要具备高精度的测量系统,确保行驶里程、时间和费用的准确性。
2.安全性和防作弊功能:设计相应的安全性功能,防止司机和乘客对计价器进行破坏或作弊操作。
3.界面友好度:设计简洁明了的用户界面,方便乘客和司机操作和了解费用信息。
4.扩展性和升级性:计程计价器需要具备良好的扩展性和升级性,可以支持后续的功能扩展和系统升级。
总之,出租车计程计价器的设计需要从硬件、软件和用户界面等多个方面进行综合考虑。
出租车自动计费器的设计优化方案
出租车自动计费器的设计优化方案一、引言出租车自动计费器是出租车行业中非常重要的设备之一,它能够准确计算乘客乘车的距离和时间,提供便捷的服务和公平的计费方式。
然而,目前市场上存在一些出租车自动计费器的设计问题,例如计费不准确、操作不便等,因此需要进行设计优化,以满足用户需求。
二、计费准确性优化1. 优化定位系统出租车自动计费器的准确计费依赖于准确的定位系统。
优化定位系统可以提高计费器的精度和稳定性。
在硬件方面,可以引入高精度的卫星定位模块,确保位置信息的准确性。
在软件方面,可以增加滤波算法,降低定位误差,并且及时更新地图数据,以保证计费的准确性。
2. 提高计费算法当前一些计费器采用的计费算法可能存在误差,导致计费不准确。
优化计费算法可以提高计费的准确性。
可以采用基于时间和距离的综合计费模型,根据车速和行驶距离来计算费用,避免了单一计费模型的不准确性。
同时,还可以根据城市不同的交通拥堵情况,调整计费系数,以反映出实际的交通情况。
三、用户体验优化1. 界面友好化设计出租车自动计费器的界面应该简洁直观,易于操作。
可以通过采用大字体、亮色背景和直观的图标,提高界面的可读性和可理解性。
另外,还可以引入语音提示功能,为用户提供更加智能的使用体验。
2. 人性化操作设计优化出租车自动计费器的操作方式,提供更加便捷的操作体验。
可以设计成触摸屏操作,简化操作流程。
此外,还可以增加一些常用功能的快捷键,方便用户快速输入目的地和支付方式等信息。
在支付方式上,可以支持多种支付方式,如现金、刷卡、移动支付等,提高支付的灵活性。
四、安全性优化1. 数据加密和隐私保护出租车自动计费器处理的数据包括乘客位置、费用和支付信息等敏感数据,应该采取安全措施进行加密和隐私保护。
可以采用安全芯片和加密算法,确保数据传输和存储的安全性。
另外,还可以在软件中加入权限管理机制,限制非法访问和恶意操作。
2. 设备稳定性保障为了保障出租车自动计费器的稳定运行,可以采取一系列措施。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计报告课程名称数字系统与逻辑设计课题名称出租车计费器的设计专业通信工程班级学号姓名指导教师乔汇东胡倩2011年7月9日湖南工程学院课程设计任务书课程名称数字系统与逻辑设计课题出租车计费器的设计专业班级学生姓名学号指导老师乔汇东胡倩审批乔汇东任务书下达日期2011 年7月2日任务完成日期2011 年7月9日《数字系统与逻辑设计》课程设计任务书一、设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。
二、设计要求1、设计正确,方案合理。
2、程序精炼,结构清晰。
3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。
4、上机演示。
5、有详细的文档。
文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。
三、进度安排第二十周星期一:课题讲解,查阅资料星期二: 总体设计,详细设计星期三:编程,上机调试、修改程序星期四:上机调试、完善程序星期五:答辩星期六-星期天:撰写课程设计报告附:课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。
正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。
正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。
目录一、出租车系统主要功能............................ 错误!未定义书签。
二、出租车计费系统功能模块......................... 错误!未定义书签。
1. 计费模块ﻩ错误!未定义书签。
2. 计程模块:ﻩ错误!未定义书签。
三、出租车计费系统的功能实现...................... 错误!未定义书签。
1. 系统的总体框图:ﻩ42. 程序流程图:ﻩ错误!未定义书签。
3. 系统各功能模块的实现:ﻩ错误!未定义书签。
四、系统调试与仿真ﻩ错误!未定义书签。
1. 错误提示:............................... 错误!未定义书签。
2. 系统仿真结果:............................. 错误!未定义书签。
五、总结与体会..................................... 错误!未定义书签。
六、附录........................................... 错误!未定义书签。
1. 顶层模块:ﻩ错误!未定义书签。
2. 计量模块:ﻩ错误!未定义书签。
3.计费模块:............................... 错误!未定义书签。
12七、评分表ﻩ一、出租车系统主要功能设计要求:本实验要完成的任务就是设计一个简单的出租车计费器,要求是起步价3 元,准行1 公里,以后1 元/公里。
时钟输入为一个1KHz的系统时钟,直流电机模块每转一圈输出一个脉冲信号给CPU,另外用按键模块的S1来作为整个系统的复位按钮,每复位一次,计费器从开始计费。
直流电机模块用来模拟出租车的车轮子,每转动一圈认为是行走1 米,所以每旋转1000 圈,认为车子前进1公里。
系统设计是需要检测电机的转动情况,每转一周,计米计数器增加1。
设计思想:整设计由计程模块,计费模块和显示模块三个部分组成。
ﻪ其中计量模块是整个系统实现里程计数重要部分;同时脉冲信号来实现系统的计费。
显示模块主要是显示用8 个七段码管,前四个显示里程,后四个显示费用。
二、出租车计费系统功能模块1.计费模块当计费信号S1一直处于高电平即计费状态时,本模块根据控制模块选择出的信号从而对不同单价的时段进行计费。
即行程在1km内,起步价3元;1km 外以每公里按1远计费。
leg0、leg1、leg2、leg3分别表示费用的显示。
模块元件为:计算乘客所行驶的公里数,当行驶里程大于1km时,本模块中pulse脉冲信号变为1;当clk1每来一个上升沿,计程器就自增1,计程器的量程为9.9km,满量程后自动归零。
元件框图为:三、出租车计费系统的功能实现1.系统的总体框图:计费模块计程模块显示复位信号米脉冲3.系统各功能模块的实现:计费模块:计程模块:开始S1='1'? S1='1'?脉冲信号? 脉冲信号?里程清零费用清零里程加0.001公里计费加一元结束否是否是进程1 进程2显示模块:四、系统调试与仿真1.错误提示:错误分析:从这可以看出来是工程名不统一,应该把工程名同意改为taxi。
这是我们经常犯的错误。
错误分析:shuju没有定义。
2.系统仿真结果:五、总结与体会短短几天的EDA课程设计已经接近尾声了,我们从挑选课设题目,查阅资料,到研究出总体设计,详细设计,再到最后的编程上机调试,修改程序,完善程序,收获颇多。
我学会在这其中解决了很多问题,也帮同学解决了许多问题。
出租车计费器系统的设计已全部完成,但我的设计不能实现动态扫描电路显示车费数目。
车暂停时停止计费。
若停止清零,等待下一次计费的开始。
出租车计费系统设计中体现了VHDL覆盖面广,描述能力强,是一个多层次的硬件描述语言及PLD器件速度快,使用方便,便于修改等特点。
我们再次熟悉和增强了对VHDL语言的基本知识,熟悉利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来。
VHDL 硬件描述语言打破了硬件和软件设计人员之间互不干涉的界限,可以使用语言的形式来进行数字系统的硬件结构、行为的描述,直接设计数字电路硬件系统。
通过编程、下载后,该芯片已经具备了原来需要使用复杂的数字电路实现的功能;更加了解和加深了对编制和调试程序的技巧,进一步提高了上机动手能力,培养了使用设计综合电路的能力,养成了提供文档资料的习惯和规范编程的思想。
在设计程序时,不能妄想一次就将整个程序设计好,反复修改、不断改进是程序设计的必经之路;要养成注释程序的好习惯,一个程序的完美与否不仅仅是实现功能,而应该让人一看就能明白你的思路,这样也为资料的保存和交流提供了方便;在设计课程过程中遇到问题是很正常的,但应该将每次遇到的问题记录下来,并分析清楚,以免下次再碰到同样的问题。
课程设计结束了,但是从中学到的知识会让我受益终身。
发现、提出、分析、解决问题和实践能力的提高都会受益于我在以后的学习、工作和生活中。
在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。
最后,我们衷心的感谢课设期间一直指导和陪伴着我们的老师。
六、附录1.顶层模块:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity taxi isport(clk:in std_logic;--系统始终信号S1: in std_logic; --复位信号pulse: in std_logic; --车轮脉冲信号LEG,BT: out std_logic_vector(7 downto 0));end taxi;architecturert4of taxi iscomponent jifeiport(clk2:in std_logic;S1: in std_logic;count0,count1,count2,count3:buffer std_logic_vector(3 downto 0));end component;component jiliangport(S1:in std_logic;clk1:instd_logic;k3,k2,k1,k0: buffer std_logic_vector(3downto 0));end component;signal a,b: std_logic;signal shuju,c,d,e,f,h,i,j,k:std_logic_vector(3downto 0);signalcnt:std_logic_vector(2 downto0);beginu1:jiliang port map(clk1=>pulse,S1=>S1,k0=>c,k1=>d,k2=>e,k3=>f); --例化计量模块u2:jifei port map(clk2=>pulse,S1=>S1,count0=>h,count1=>i,count2=>j,count3=>k); --例化计费模块M1:process (cnt,clk)ﻩbeginﻩif clk'event and clk='1'thenﻩcasecntisﻩﻩwhen "000"=>BT<="00000001";shuju<=c;ﻩwhen "001"=>BT<="00000010";shuju<=d;ﻩﻩwhen "010"=>BT<="00000100";shuju<=e;ﻩﻩwhen"011"=>BT<="00001000";shuju<=f;ﻩwhen"100"=>BT<="00010000";shuju<=h;ﻩﻩwhen "101"=>BT<="00100000";shuju<=i;ﻩﻩwhen "110"=>BT<="01000000";shuju<=j; ﻩﻩwhen "111"=>BT<="10000000";shuju<=k;when others=>NULL;ﻩﻩﻩend case;ﻩend if;ﻩend process M1;ﻩM2: process(clk)beginif clk'event andclk='1' thenﻩcnt<=cnt+1;ﻩendif;end process M2;M3:PROCESS(shuju,clk)beginifclk'eventand clk='1'thenﻩﻩcase shujuisﻩwhen "0000"=>LEG<="11111100";when "0001"=>LEG<="01100000";ﻩﻩwhen"0010"=>LEG<="11011010";ﻩﻩwhen "0011"=>LEG<="11110010";ﻩwhen "0100"=>LEG<="01100110";ﻩﻩﻩwhen"0101"=>LEG<="10110110";ﻩﻩwhen "0110"=>LEG<="10111110";ﻩwhen "0111"=>LEG<="11100000";ﻩwhen"1000"=>LEG<="11111110";ﻩwhen "1001"=>LEG<="11110110";ﻩwhenothers=>NULL;ﻩend case;ﻩend if;ﻩend process M3;end rt4;2.计量模块:libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entityjiliang isport(S1:in std_logic;clk1:in std_logic;k3,k2,k1,k0: buffer std_logic_vector(3downto0));end jiliang;architecture behav of jiliang isbeginprocess(clk1,S1)beginif S1='0' thenk3<="0000";k2<="0000" ;k1<="0000";k0<="0000";elsif clk1'eventand clk1='1'thenifk0="1001" then k0<="0000";ﻩﻩif k1="1001"then k1<="0000";ﻩﻩifk2="1001"then k2<="0000";ﻩﻩif k3="1001" then k3<="0000";ﻩelseﻩﻩﻩk3<=k3+1;end if;ﻩelsek2<=k2+1;end if;ﻩﻩelsek1<=k1+1;end if;elsek0<=k0+1;end if;endif;endprocess;endbehav ;3.计费模块:libraryIEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity jifei isport(clk2:in std_logic; --时钟信号S1: in std_logic; --复位信号count0,count1,count2,count3:bufferstd_logic_vector(3 do wnto 0));endjifei;architecture behav of jifei isbeginprocess(clk2,S1)variable m:integer range 0 to 1000:=0;--定义变量beginifS1='0' then count3<="0000";count2<="0000";count1<="0000";count0<="0011";elsifclk2'eventand clk2='1' thenm:=m+1;if m MOD1000=0 then --取模算法if count0="1001" then count0<="0000";if count1="1001" then count1<="0000";ifcount2="1001" then count2<="0000";if count3="1001" thencount3<="0000";else count3<=count3+1;end if;else count2<=count2+1;endif;else count1<=count1+1;end if;else count0<=count0+1; m:=0;end if;end if;end if;end process;endbehav ;ﻮ七、评分表计算机与通信学院课程设计评分表课题名称:教师签名:日期:。