基于单片机正弦波系统信号发生器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

基于单片机正弦波系统信号发生器

学校:宿州学院

班级:08电气一班

姓名:李伟

指导教师:郑伟

基于单片机正弦波系统

信号发生器

绪论 (2)

第一章系统概述和方案 (3)

1.1引言 (3)

1.2方案选择 (3)

1.3 DDS的理论分析与参数计算 (3)

1.3.1 DDS的基本原理 (3)

1.3.2 参数计算 (4)

1.4 信号发生芯片选择 (4)

第二章系统硬件设计 (6)

2.1系统总体设计 (6)

2.2单片机介绍及与AD9835(DDS)连接电路 (6)

2.2.1AD89S51芯片介绍 (6)

2.2.2 AD9835(DDS)芯片介绍 (7)

2.3 信号发生器 (8)

2.4 低通滤波电路 (9)

2.5 D/A转换及浮动控制电路 (10)

2.6 信号放大器 (10)

2.7 显示电路 (11)

2.8 键盘电路 (12)

2.9 电源电路 (12)

第三章系统软件流程图 (14)

3.1 主程序流程图 (14)

3.2 键盘处理子程序流程图 (14)

3.3 D/A转换子程序流程图 (15)

致谢 (17)

附录 (18)

绪论

基于单片机正弦波系统信号发生器设计,该课题的设计母的是充分运用大学期间所学的专业知识,考察信号发生器的基本功能,完成一个基本的实际系统的设计全过程.通过单片机控制一个有特殊功能的信号发生芯片,可以产生一系列有规律的幅度和频率可调的波形.这样一个信号发生装置在控制领域有相当广泛的应用范围.

直接数字频率合成(DDS)是近年来发展起来的一种新的频率合成技术。其主要有点是相对带宽很宽、频率转换时间极短(可小于20ns)、频率分辨率很高、全数字化结构便于集成、输出相位连续、频率、相位和幅度均可实现控制。因此能够与计算机紧密联系在一起,充分发挥软件的作用。作为应用现在已有DDS 产品用于接收基本振、信号发生器、通信系统,雷达系统、跳频通信系统等。

本文介绍一种由直接数字频率合成芯片AD9835设计的正弦信号发生器,该芯片支持高达50MHZ的时钟频率,可以产生最高达25MHZ 的正弦波形。通过单片机控制完全可以满足设计所要求的正弦波信号的生成。本文主要分六大部分;绪论,系统概述和方案,硬件部分,软件部分,展望和致谢。绪论,首先对课题研究背景和所涉及的相关技术领域进行了介绍;第一章对系统所要完成的功能和可拓展的功能进行概述,确定系统的设计方案主要元器件的选择。第二章对系统的硬件结构和各部分组成做了简单的介绍和讲解。第三部分是软件部分,这部分主要介绍了主程序的流程框图及各个子程序的流程框图,最后对整篇文章进行了总结。

第一章系统概述和方案

1.1引言

信号发生器的实现方法很多,传统的波形发生器通常由晶体管、运放IC等分离原件制成。与此相比,基于集成芯片想波形发生器具有高频信号输出、波形稳定、控制简便等特点,且大多能产出正弦波、矩形板和三角波等多种波形。根据设计要求,又基于DDS芯片AD9835在正弦波产生方面的优良特性,这里提出一种基于DDS AD9835的正弦波信号发生器的设计方案。

本次设计的基于单片机的信号发生器设计就是一个单片机控制系统,对信号发生芯片进行的控制。通过单片机对信号发生芯片经行精密控制,实现对波形的频率和幅度的控制。这些控制可以通过键盘设定,这就要求对选择的信号发生芯片,选用的单片机有初步的了解,并对整个系统的结构有个合理的分配。

1.2方案选择

方案一:直接利用单单片机编程产生正弦波

优点:简化了产生正弦波的硬件和软件,电路结构简单。

缺点:编程复杂,波形失真较大,不能达到要求输出的高频信号。

方案二:利用单片机控制直接数字频率合成芯片DDS产生的正弦波,通过单片机,键盘LED数码显示管显示实现波形的数字控制。

优点:控制简单,波形效果好,频率带宽。

缺点:硬件电路复杂。

为了满足设计要求,取得较好的效果,显然方案二更为合理。

1.3DDS的理论分析与参数计算

1.3.1 DDS的基本原理

DDS的基本原理是:在高速存储器中放入正弦函数——相位数据表格,经过查表操作将读出的数据送到高速DAC产生正弦波。可编程DDS系统原理如图所示:

图1 DDS的基本原理图

DDS系统由频率控制字,相位累加器,正弦查询表,数|模转换器和低通滤波器组成,参考时钟为高位定度饿晶体振荡器,其输出用于同步DDS各组成部分的工作。DDS系统的核心是相位累加器,它由N位相位寄存器构成,类似于一个简单的计算器。没来一个时钟脉冲,相位寄出去的输出就增加一个步长的相位增简单计算器。每来一个时钟脉冲,相位寄存器的输出就增加一个步长的相位增量值,加法器将频率控制数据与累加寄存器输出的累加相位数据相加,把相加结果送至累加寄存器的数据输入端。相位累加器进入线性相位累加,累加至满量程时产生一次计数溢出,这个溢出频率即为DDS的输出频率,正弦查询表是一个可编程只读寄存器PROM,存储的是一相位为地址的一个周期正弦信号的采样编码值,包含一个周期正弦波的数字幅度信息,每个地址对应于正弦波中0-360度范围的一个相位点将相位寄存器的输出与相位控制字相加得到一个数据作为一个地址对正弦查询表进行寻址,查询表把输入的地址相位信息映射成正弦波幅度信号驱动DAC,输出模拟信号。低通滤波器平滑并滤除不需要的取样分量,以便输出频谱纯净的正弦信号。

1.3.2 参数计算

对于计数容量为2n的相位累加器和具有M个相位取样点的正弦波形存储器,若频率控制字为K,输出信号频率为f0,参考时钟频率为fc,则DDS系统输出信

号的频率为F0=(k/2n)fc

输出信号的频率分辨率为△faln=(1/2n)fc

由奈奎斯特采样定理可知,DDS输出的最大频率为fmax=fc/2,频率控制

字可由以上公式推出K=f0*2n/fc。

当外部参考时钟频率为50MHZ时系统时钟经过6倍频率,使得fc频率为300MHZ,这样就可利用以上公式计算出DDS的需要设计的控制频率为K=1*2^48/300

1.4信号发生芯片选择

系统采用数字波形合成技术产生任意波形,其基本原理是设法将任意波形的

相关文档
最新文档