七人表决器实验报告

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

七人表决器实验报告
篇一:哈工大电工学新技术实践实验报告-7人表决器总成绩:
一、设计任务
1、有七人参与表决,显示赞同者个数。

2当赞同者达到及超过4人时,绿灯显示表示通过。

二、设计条件
本设计基于软件,在电机楼实验室XX5进行验证。

三、设计要求
1、熟悉74LS161,74LS151,数码管的工作原理。

2、设计相应的电路图,标注元件参数,并进行仿真验证。

四、设计内容
1. 电路原理图(含管脚接线)电路原理图如图1所示
图1 电路原理图
2. 计算与仿真分析
仿真结果如图2、3、4所示
图2 仿真结果
图4 仿真结果
4. 调试流程
调试流程如图5所示
图5 调试流程
5. 设计和使用说明
74LS151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。

选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。

(1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。

(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。

如:CBA=000,则选择D0数据到输出端,即Y=D0。

如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。

图6 74LS151引脚排列
表1 74LS151功能表
74LS161功能:
(1)
异步置“0”功能:接好电源和地,将清除端接低电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。

(2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在CP的上升沿作用后,测试输出端Q3~Q0的电平。

如果操作准确,D3~D0的数据为
0011,说明D3~D0的数据已预置到Q3~Q0端。

(3)计数和进位功能:将 LD、Cr 、CET、CEP端均接高电平,CLK端输入单脉冲,记录输出端状态。

如果操作准确,每输入一个CP
脉冲,计数器就进行一
篇二:实验一、七人表决器
河北科技大学
实验报告
12级电信专业 123 班学号Z6 15年5月20日姓名张娟同组人指导教师于国庆实验名称实验一七人表决器成绩实验类型设计型批阅教师
一、实验目的
(1)掌握MUXPLUS II语言输入的设计过程。

(2)初步了解VHDL语言。

(3)熟悉FPGA项目设计的基本流程。

二、实验原理:用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”;输入变量为逻辑“0”时表示表决者“不赞同”;输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”;当表决器的七个输入变量中有4个及以上为“1”时,则表决器输出为“1”,否则为“0”。

表决器输入采用试验箱K1~K16,输出采用试验箱L14、
L16指示;同意绿灯亮,否则红灯亮。

三、实验内容及步骤
1.打开MUXPLUS II VHDL编辑器,完成七人表决器的设计。

包括VHDL程序输入、编译、综合。

实验程序如下:
library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity vote7 is port (men :in std_logic_vector(6 downto 0);
pass,stop : buffer std_logic);
end vote7;
architecture behave of vote7 is begin stop variable temp:std_logic_vector(2 downto 0); begin temp:="000"; for i in 0 to 6 loopif(men(i)='1') thentemp:=temp+1;else
temp:=temp+0;end if;end loop; pass 2、建立仿真波形文件,使用 MAXPLUS II Simulator功能进行功能仿真。

仿真结果如下:
3、目标器件选择与管脚锁定并重新编译、综合、适配。

FPGA型号:EP1K100QC208-3 引脚绑定:
4、下载并验证结果
将编译好的程序进行引脚锁定及硬件配置后便可下载
到目标芯片中,我们将程序下载到芯片中后结果输出灯stop 亮pass灭,当我们按下men0到men6任意四个或四个以上按键时stop灭pass亮,实验结果符合预期效果,结果正确。

四、实验结果与总结
我们这次EDA实验课设计了一个双LED灯输出七人表决器,实验结果符合预期效果即任意按下四个或四个以上按键表示同意,同意绿灯亮反对红灯亮。

通过这次实验我们加深了对EDA这门课程的理解,学会了如何使用MAX PLUSⅡ进行VHDL语言编程以及将程序下载到芯片上,增加了对学习VHDL 语言的兴趣,增强了实践动手能力,学到了许多课本上没有的知识。

篇三:七人表决器课程设计报告
课程设计
课程名称硬件描述语言与EDA技术题目名称硬件描述语言与EDA技术实践学生学院材料与能源专业班级11微电子学(1)班学号学生姓名指导教师
XX年 6月 27日
广东工业大学课程设计任务书
题目名称学生学院专业班级姓名学号硬件描述语言与EDA技术实践
材料与能源学院 11微电子学(1)班
一、课程设计的内容与要求
1. 系统功能分析,分模块层次化设计;
2. 实现系统功能的方案设计;
3. 编写各功能模块VHDL语言程序;
4. 对各功能模块进行编译、综合、仿真和验证;
5. 顶层文件设计,可用VHDL语言设计,也可以用原理图设计;
6. 整个系统进行编译、综合、仿真和验证;
7. 在CPLD/FPGA实验开发系统试验箱上进行硬件验证;
8. 按所布置的题目要求,每一位学生独立完成全过程。

二、课程设计应完成的工作
1. 所要求设计内容的全部工作;
2. 按设计指导书要求提交一份报告书;
3. 提交电子版的设计全部内容:工程目录文件夹中的全部内容,报告书
三、课程设计进程安排
四、应收集的资料及主要参考文献
1. 陈先朝,硬件描述语言与EDA技术实践指导书,XX 年3月
2. 曹昕燕等编著,EDA技术实验与课程设计,清华大学出版社,XX年5

3. 刘欲晓等编著,EDA技术与VHDL电路开发应用实践,电子工业出版社,
XX年4月
4. 刘昌华等编著,数字逻辑EDA设计与实践:MAX+plus Ⅱ与QuartusⅡ双
剑合璧,国防工业出版社,XX年
5. 刘江海主编,EDA技术课程设计,华中科技大学出版社,XX年1月
发出任务书日期: XX年6月 23日指导教师签名:
计划完成日期: XX年6月 27日基层教学单位责任人签章:
主管院长签章:
七人表决器
1.设计目的
(1)学习和掌握Quartus II软件的基本操作;
(2)通过设计七人表决器,掌握基于可编程器件的VHDL 硬件描述语言的设计方法;
(3)学习用CPLD/FPGA 实践系统硬件验证电路设计的正确性
2.设计题目及要求
(1)题目:表决器
(2)要求:设计一个七人表决器,当赞成人数大于等于四时显示表决通过,同时分别将投票中赞成的人数和反对的人数在数码管上显示出来。

3.设计方案:
表决器的功能是将所投票者的结果综合起来,超过半数赞成则表示结果通过,反之则不通过。

而七人表决器由七个人来投票,当赞成的票数大于或者等于4人,则认为通过;当反对的票数大于或者等于4人时,则认为不通过。

所以这次设计中我将用7个数据开关来表示七个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。

表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮,;否则,如果表决的结果为反对,则LED不会被点亮。

同时用七段显示数码管来显示赞成的人数和反对的人数。

4.实验箱使用说明
本次使用的实验箱为KHF-3型CPLD/FPGA实践开发系统
所用芯片:ACEX1K系列的EP1K30QC208-3,引脚为208个,5980逻辑单元。

数据开关SW1至SW7分别代表7人。

当数据开关按下时输出为高电平‘1’,代表该投票者赞成,反之输出为低电平‘0’,代表该投票者反对。

数码管SEG1为赞成者人数,数码管SEG4为反对者人数
LED灯SEG5对应的P190为结果通过指示灯,当灯点亮,则代表投票结果为通过,反之熄灭时代表投票结果不通过。

5.设计流程图
开始。

相关文档
最新文档