中国电子学会嵌入式系统工程师专业技术资格认证考试资料

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

中国电子学会嵌入式系统工程师专业技术资格认证考试

一、选择题(本大题共 15 个小题,每小题 1 分,共 15 分)

1、基于 FPGA 的嵌入式系统,下面说法正确的是( D )

A.只有运行 NiosII 软核的 FPGA 系统才是嵌入式系统 B.基于FPGA 的嵌入式系统是在 FPGA 中运行可配置的软核 C.基于 FPGA 的嵌入式系统主要特点是运行速度比其他嵌入式系统要快 D.基于 FPGA 的嵌入式系统是 FPGA 最主要的应用方向

2、下列不属于 FPGA 应用范围的是( D )

A.信号处理 B.智能应用 C.手持 PDA D.超大屏幕显示

3、下列关于软核处理器的说法,正确的是( B )

A.软核处理器执行 VHDL 编写的程序

B.软核处理器是集成在 FPGA 中的模块

C.NiosII、Microblaze、PowerPC、MIPS 都属于软核处理器的范畴

D.NiosII 软核可以修改它的指令和外设

4、下列说法正确的是( A )

A.IP 核可以挂载到不同的总线上 B.系统中的 IP 核不支持 Ver iog HDL 和 VHDL 混合编写 C.同一个 IP 核在不同的 FPGA 中具有相同的性能 D.嵌入式软核处理器并不属于 IP 核的范畴

*5、关于 SOPC 的说法,错误的是( B )

A.SOPC 的系统中至少包含一个 NiosII 软核 B.SOPC 技术包含了嵌入式设计的全部,除了硬件 PCB 外,还包括处理器和实时多任务操作系统(RTOS) C.SOPC 可以体现软硬件协同设计技术 D.如果 FP GA 中集成了硬核处理器,无论是否使用,系统都属于 SOPC 系统

6、下列不属于 FPGA 片内资源的是( A )

A.高速串行收发器 B.PLL(数字锁相环)C.RAM D.FIFO(先进先出)

7、下面哪项不属于 NiosII 软核的可定制性( D )

A.可以修改基于 NiosII 的 IP 核 C.增加或取消 MMU(内存管理单元) B.提高或降低工作频率 D.自定义 NiosII 指令

8、关于 FPGA 的配置问题下列说法的正确的是( A )

A.EPCS16 的容量是 16Mb B.FPGA 仅支持 EPCS 配置 C.FPGA 的配置优先级最高的是 EPCS D.EPCS 配置 FPGA 属于 PS(被动)方式

9、关于 NiosII 软核启动过程,下列说法错误的是( D )

A.NiosII 软核的启动过程主要分为 FPGA 器件的配置和 NiosII 程序的加载

B.CFI Flash 可以保存 FPGA 的配置文件、NiosII 程序和其他文件数据

C.FPGA 配置文件(.sof)和 NiosII 程序(.elf)都可以保存在 EPCS 中

D.使用 CFI Flash 做为 NiosII 启动的器件时,FPGA 把配置数据从 CFI Flash 读出并加载,然后执行 Bootloader 把保存的 NiosI

I 程序复制 SDRAM 执行

10、下列可以在 FPGA 中稳定运行的是( B)

A.在设计中同时存在大量同步和异步设计 B.状态机编码采用二进制码和独热码混合形式 C.使用很多已经验证好的 IP 核,但没有做整体的仿真 D.有的模块采用时钟上升沿,有的模块采用时钟下降沿

11、uC/OS-II 的任务调度策略是( A )

A.哪个任务的优先级高就先执行哪个任务 B.高优先级的任务会被低优先级的任务抢占 C.各自轮流执行一段时间再让出 CPU D.如果某个任务一直得不到 CPU 资源,就会进入等待状态

12、在 FPGA 设计中不属于软件硬件协同设计的是( C )

A.C2H(C to Hardware)硬件加速编译器 B.难于用软件实现的部分功能用硬件实现 C.在 C 程序中使用自定义外设的函数D.根据顶层设计要求,合理划分软硬件结构

13、在 uC/OS-II 系统中不属于信号量用途的是( D)

A.共享资源的控制 C.实现两个任务之间信息的传递)

B.用来表示一个事件的发生 D.实现两个任务的同步

14、关于 SystemC 和 SystemVerilog 的说法正确的是( C)

A.SystemC 适合顶层建模,SystemVerilog 适合验证 B.System Verilog 适合顶层建模,SystemC 适合验证 C.SystemVerilog 是 Ver ilog 的升级版本 D.SystemC 可以直接转换为 RTL 代码

15、下列关于 uClinux 的说法正确的是( D )

A.uClinux 是在 linux 的基础上裁剪了内核和应用程序库。 B.u Clinux 可以使用 linux 的一部分命令 C.uClinux 由于没有 MMU,故

仅能运行在没有 MMU 的处理器上 D.uClinux 是硬实时的嵌入式操作系统

二、判断题(本大题共 10 个小题,每题 1 分,共 10 分)

1、NiosII 处理器是可以配置成 16 位或 32 位的处理器。( X )

2、 Avalon 接口是一个同步协议的接口,所以 Avalon 总线不能与异步设备连接。( X)

3、 SOPC 设计中,在 SDRAM 控制器核与 SDRAM 芯片之间需要PLL 调整时钟相位。√

4、ANSI C 数据类型不能明确地定义数据的宽度。(√ ) X )))

5、在 SOPC Builder 中 Auto-Assign IRQs 能做出最好的 IRQ 分配。(

6、在设计时可以将 NiosII 程序和 FPGA 配置数据同时存放在同一个 Flash 中,这就需要一个配置控制器来驱动 Flash 输出配置数据以完成 FPGA 的配置。(√ )

7、在 SOPC Builder 中定义 CPU 的复位地址在 Flash,而在 Nio sII IDE 中程序被连接到 Flash 之外的存储器,那么 elf2flash 实用程序将在用户程序前插入一个 Boot-copier。(√ )

相关文档
最新文档