计算机组成原理实验五-存储器读写实验

合集下载

计算机组成原理实验之静态随机存储器实验

计算机组成原理实验之静态随机存储器实验

图1 存储器实验原理图1静态随机存储器实验一.实验目的掌握静态随机存储器RAM 工作特性及数据的读写方法。

二.实验设备1.TDN-CM+或TDN-CM++教学实验系统一台。

2.PC 微机(或示波器)一台。

三.实验原理实验所用的半导体静态存储器电路原理如图所示,实验中的静态存储器由一片6116 (2K ×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。

地址灯AD0~AD7与地址线相连,显示地址线内容。

数据开关经一个三态门(74LS245)连至数据总线,分时给出地址和数据。

实验四图2 静态随机存储器实验接线图2 因地址寄存器为8位,所以接入6116的地址为A7~A0,而高三位A8~A10接地,所以其实际容量为256字节。

6116有三个控制线:CE (片选线)、OE (读线)、WE (写线)。

当片选有效(CE=0)时,OE=0时进行读操作,WE=0时进行写操作。

本实验中将OE 常接地,在此情况下,当CE=0、WE=0时进行读操作,CE=0、WE=1时进行写操作,其写时间与T3脉冲宽度一致。

实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT ”单元的二进制开关模拟,其中SW-B 为低电平有效,LDAR 为高电平有效。

四.实验步骤(1) 形成时钟脉冲信号T3。

具体接线方法和操作步骤如下:① 接通电源,用示波器接入方波信号源的输出插孔H23,调节电位器W1及W2 ,使H23端输出实验所期望的频率及占空比的方波。

② 将时序电路模块(STATE UNIT )单元中的ф和信号源单元(SIGNAL UNIT )中的H23排针相连。

③在时序电路模块中有两个二进制开关“STOP ”和“STEP ”。

将“STOP ”开关置为“RUN ”状态、“STEP ”开关置为“EXEC ”状态时,按动微动开关START ,则TS3端即输出为连续的方波信号,此时调节电位器W1,用示波器观察,使T3输出实验要 求的脉冲信号。

杭电计组实验5-存储器设计实验

杭电计组实验5-存储器设计实验
5’b000006 32’b0000000632’b0000000632'h0055_752332'h0055_7523
5’b000007 32’b0000000732’b0000000732'h0055_752332'h0055_7523
(2)答:这些单元的数据已经被改写了。读出数据与写入数据一致。
RAM_B uut (
.clka(clka),
.wea(wea),
.addra(addra),
.dina(dina),
.douta(douta)
);
initial begin
// Initialize Inputs
clka = 0;
wea = 0;
addra = 0;
dina = 0;
// Wait 100 ns for global reset to finish
NET "Clk" LOC = C9;
NET "LED[4]" LOC = M11;
NET "LED[3]" LOC = V15;
NET "LED[2]" LOC = U15;
NET "LED[1]" LOC = V16;
NET "LED[0]" LOC = U16;
NET "Mem_Addr[7]" LOC = U8;
output reg [7:0]LED;
wire [31:0]M_R_Data;//存在存储器里的32位读出数据
reg [31:0]M_W_Data;//寄存在暂存器的32位写入数据
RAM_B test_ram (

计算机组成原理实验报告,存储器的原理及应用

计算机组成原理实验报告,存储器的原理及应用

初:未知 当前:2016-7-3 主笔:Angel 联系方式:QQ :1219818801 版本:1实 验 报 告课程名称: 计算机组成原理 实验项目: 存储器的原理及应用姓 名: 刘斌专 业: 计算机科学与技术 班 级: 计算机14-6班 学 号:1404010612计算机科学与技术学院实验教学中心2016 年 6 月 20日初:未知当前:2016-7-3 主笔:Angel 联系方式:QQ:1219818801 版本:1实验项目名称:存储器的原理及应用一、实验目的1.了解程序存储器EM 的工作原理及控制方法2.了解存储器读写方法。

二、实验内容利用 COP2000 实验仪上的 K16..K23 开关做为 DBUS 的数据,其它开关做为控制信号,实现程序存储器EM 的读写操作。

三、实验用设备仪器及材料计算机、伟福 COP2000系列计算机组成原理实验系统四、实验原理及接线内存中通常存放指令和数据,当内存存放指令时,将指令送指令总线;当内存存放数据时,将数据送数据总线。

如图所示,它主要由一片RAM 6116 组成,RAM6116是静态2048X8位的RAM,有11 条地址线,在COP2000 模型机中只使用8 条地址线A0-A7 ,而A8-A10接地。

存储器EM通过1片74HC245 与数据总线相连。

存储器EM的地址可由PC或MAR提供。

存储器EM 的数据输出直接接到指令总线IBUS,指令总线IBUS 的数据还可以来自一片74HC245。

当ICOE 为0 时,这片74HC245 输出中断指令B8。

EM原理图初:未知当前:2016-7-3 主笔:Angel 联系方式:QQ:1219818801 版本:12存储器 uM 由三片 6116RAM 构成,共 24 位微指令。

存储器的地址由 uPC 提供, 片选及读信号恒为低, 写信号恒为高. 存储器uM 始终输出uPC 指定地址单元的数据。

连接线表五、实验操作步骤1, 1、控制 k4、k5开关,观察PC\MAR输出地址选择:1、K5、输出地址(PC红色灯亮)2、K5、输出地址(PC红色灯亮)2、K5、没有灯亮2、K5、、PC同时输出地址(MAR、PC红色灯同时亮)2、存储器EM 写、读实验(1)将地址 0写入MAR二进制开关K23-K16 用于DBUS[7:0]的数据输入,置数据00HK3连接MAREN端,当低电平(0)时,MAR写允许按CLOCK键, 将地址 0 写入MAR(2)将数据11H写入地址00H二进制开关K23-K16 用于DBUS[7:0]的数据输入,置数据11HK4连接MAROE,当低电平(0)时,MAR输出地址K2连接EEMEN,当低电平(0)时,存储器与数据总线连接K0连接EMWR,当低电平(0)时,存储器写允许按CLOCK键, 将地址11H写入EM(3)读地址00H 中的数据11HK4连接MAROE,,MAR输出地址K1连接EMRD,当低电平(0)时,存储器读允许学生做:将数据55H写入地址22H,并读出将数据45H写入地址33H,并读出3、将数据打入地址为00的IR 指令寄存器/uPC实验(1)将地址 0写入MAR二进制开关K23-K16 用于DBUS[7:0]的数据输入,置数据00HK3连接MAREN端,当低电平(0)时,MAR写允许按CLOCK键, 将地址 0 写入MAR(2)将数据11H写入地址00H二进制开关K23-K16 用于DBUS[7:0]的数据输入,置数据11HK4连接MAROE,当低电平(0)时,MAR输出地址K2连接EEMEN,当低电平(0)时,存储器与数据总线连接K0连接EMWR,当低电平(0)时,存储器写允许按CLOCK键, 将地址11H写入EM(3)读地址00H 中的数据11HK4连接MAROE,,MAR输出地址K1连接EMRD,当低电平(0)时,存储器读允许(4)写地址00H数据11H入 IR及 uPC学生做:将数据22H、33H打入地址为01H、02H的IR 指令寄存器/uPC实验实验 1:微程序存储器 uM 读出置控制信号为:K0为1uM 输出uM[0]的数据按一次CLOCK脉冲键,CLOCK产生一个上升沿,数据uPC 被加一。

存储器实验报告

存储器实验报告

实验报告书写指南课程名称:计算机组成原理实验项目名称:静态随机存储器实验实验目的:掌握静态随机存储器RAM工作特性及数据的读写方法。

实验原理实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM单元),如图2-1-1所示。

6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如表2-1-1所示,当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS常接地。

图2-1-1 SRAM 6116引脚图由于存储器(MEM)最终是要挂接到CPU上,所以其还需要一个读写控制逻辑,使得CPU能控制MEM 的读写,实验中的读写控制逻辑如图2-1-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出(时序单元的介绍见附录2)。

IOM用来选择是对I/O还是对MEM进行读写操作,RD=1时为读,WR=1时为写。

表2-1-1 SRAM 6116功能表CS WE OE功能1 0 0 0×1×1不选择读写写RDT3WR图2-1-2 读写控制逻辑实验原理图如图2-1-3所示,存储器数据线接至数据总线,数据总线上接有8个LED灯显示D7…D0的内容。

地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR单元)给出。

数据开关(位于IN单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。

地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。

RDWR图2-1-3 存储器实验原理图实验箱中所有单元的时序都连接至时序与操作台单元,CLR都连接至CON单元的CLR按钮。

实验时T3由时序单元给出,其余信号由CON单元的二进制开关模拟给出,其中IOM应为低(即MEM操作),RD、WR 高有效,MR和MW低有效,LDAR高有效。

储存器实验报告

储存器实验报告

储存器实验报告储存器实验报告一、引言储存器是计算机中重要的组成部分,它用于存储和读取数据。

在计算机科学领域,储存器的设计和性能对计算机的运行速度和效率有着重要的影响。

本实验旨在通过设计和实现一个简单的储存器,来深入了解储存器的工作原理和性能指标。

二、实验目的1. 了解储存器的基本概念和分类;2. 掌握储存器的存储原理和读写操作;3. 分析和评估储存器的性能指标。

三、实验过程1. 储存器的分类储存器按照存储介质的不同可以分为随机存储器(RAM)和只读存储器(ROM)。

RAM是一种易失性存储器,它可以随机读写数据。

ROM则是一种非易失性存储器,主要用于存储固定的程序和数据。

2. 储存器的存储原理储存器的存储原理是通过电子元件的状态来表示数据的存储状态。

在RAM中,每个存储单元由一个电容和一个晶体管组成。

当电容充电时表示存储单元存储的是1,当电容放电时表示存储单元存储的是0。

在ROM中,存储单元由一组可编程的开关组成,每个开关的状态决定了存储单元存储的数据。

3. 储存器的读写操作储存器的读操作是通过将地址信号传递给储存器来选择要读取的存储单元,然后将存储单元的数据输出。

储存器的写操作是通过将地址信号传递给储存器来选择要写入的存储单元,然后将要写入的数据输入。

四、实验结果在实验中,我们设计并实现了一个8位的RAM储存器。

通过对储存器进行读写操作,我们成功地将数据存储到储存器中,并成功地从储存器中读取数据。

实验结果表明,储存器的读写操作是可靠和有效的。

五、实验分析1. 储存器的性能指标储存器的性能指标包括存储容量、存取时间和存储器的可靠性。

存储容量是指储存器可以存储的数据量,通常以位或字节为单位。

存取时间是指从发出读写指令到数据可以被读取或写入的时间间隔。

存储器的可靠性是指储存器的故障率和故障恢复能力。

2. 储存器的应用储存器广泛应用于计算机、手机、平板电脑等电子设备中。

在计算机中,储存器用于存储程序和数据,是计算机的核心组件之一。

计组存储器实验实验报告(3篇)

计组存储器实验实验报告(3篇)

第1篇一、实验目的1. 理解存储器的基本组成和工作原理;2. 掌握存储器的读写操作过程;3. 熟悉存储器芯片的引脚功能及连接方式;4. 了解存储器与CPU的交互过程。

二、实验环境1. 实验设备:TD-CMA计算机组成原理实验箱、计算机;2. 实验软件:无。

三、实验原理1. 存储器由地址线、数据线、控制线、存储单元等组成;2. 地址线用于指定存储单元的位置,数据线用于传输数据,控制线用于控制读写操作;3. 存储器芯片的引脚功能:地址线、数据线、片选线、读线、写线等;4. 存储器与CPU的交互过程:CPU通过地址线访问存储器,通过控制线控制读写操作,通过数据线进行数据传输。

四、实验内容1. 连线:按照实验原理图连接实验箱中的存储器芯片、地址线、数据线、控制线等;2. 写入操作:将数据从输入单元IN输入到地址寄存器AR中,然后通过控制线将数据写入存储器的指定单元;3. 读取操作:通过地址线指定存储单元,通过控制线读取数据,然后通过数据线将数据输出到输出单元OUT;4. 实验步骤:a. 连接实验一(输入、输出实验)的全部连线;b. 按实验逻辑原理图连接两根信号低电平有效信号线;c. 连接A7-A0 8根地址线;d. 连接13-AR正脉冲有效信号线;e. 在输入数据开关上拨一个地址数据(如00000001,即16进制数01H),拨下开关,把地址数据送总线;f. 拨动一下B-AR开关,实现0-1-0”,产生一个正脉冲,把地址数据送地址寄存器AR保存;g. 在输入数据开关上拨一个实验数据(如10000000,即16进制数80H),拨下控制开关,把实验数据送到总线;h. 拨动控制开关,即实现1-0-1”,产生一个负脉冲,把实验数据存入存储器的01H号单元;i. 按表2-11所示的地址数据和实验数据,重复上述步骤。

五、实验结果与分析1. 通过实验,成功实现了存储器的读写操作;2. 观察到地址线、数据线、控制线在读写操作中的协同作用;3. 理解了存储器芯片的引脚功能及连接方式;4. 掌握了存储器与CPU的交互过程。

计算机组成原理实验报告

计算机组成原理实验报告

计算机组成原理实验报告实验⼀静态随机存取存贮器实验⼀.实验⽬的了解静态随机存取存贮器的⼯作原理;掌握读写存贮器的⽅法。

⼆.实验内容实验仪的存贮器MEM单元选⽤⼀⽚静态存贮器6116(2K×8bit)存放程序和数据。

CE:⽚选信号线,低电平有效,实验仪已将该管脚接地。

OE:读信号线,低电平有效。

WE:写信号线,低电平有效。

A0..A10: 地址信号线。

I/O0..I/O7:数据信号线。

SRAM6116存贮器挂在CPU的总线上,CPU通过读写控制逻辑,控制MEM的读写。

实验中的读写控制逻辑如下图:读写控制逻辑M_nI/O⽤来选择对MEM还是I/O读写,M_nI/O = 1,选择存贮器MEM;M_nI/O = 0,选择I/O设备。

nRD = 0为读操作;nWR = 0为写操作。

对MEM、I/O的写脉冲宽度与T2⼀致;读脉冲宽度与T2+T3⼀致,T2、T3由CON单元提供。

存贮器实验原理图存贮器数据信号线与数据总线DBus相连;地址信号线与地址总线ABus相连,6116的⾼三位地址A10..A8接地,所以其实际容量为256字节。

数据总线DBus、地址总线ABus、控制总线CBus与扩展区单元相连,扩展区单元的数码管、发光⼆极管上显⽰对应的数据。

IN单元通过⼀⽚74HC245(三态门),连接到内部数据总线iDBus上,分时提供地址、数据。

MAR由锁存器(74HC574,锁存写⼊的地址数据)、三态门(74HC245、控制锁存器中的地址数据是否输出到地址总线上)、8个发光⼆极管(显⽰锁存器中的地址数据)组成。

T2、T3由CON单元提供,按⼀次CON单元的uSTEP键,时序单元发出T1信号;按⼀次uSTEP键,时序单元发出T2信号;按⼀次uSTEP键,时序单元发出T3信号;再按⼀次uSTEP键,时序单元⼜发出T1信号,……按⼀次STEP键,相当于按了三次uSTEP键,依次发出T1、T2、T3信号。

其余信号由开关区单元的拨动开关模拟给出,其中M_nI/O应为⾼(即对MEM 读写操作)电平有效,nRD、nWR、wMAR、nMAROE、IN单元的nCS、nRD 都是低电平有效。

计算机组成原理存储器实验报告

计算机组成原理存储器实验报告

计算机组成原理存储器实验报告一、实验目的本实验旨在通过实践了解存储器的基本原理和实现方式,掌握存储器的读写操作。

二、实验原理存储器是计算机中用于存储数据和程序的设备,其按照不同的存取方式可分为随机存储器(RAM)和只读存储器(ROM)。

其中RAM是一种易失性存储器,其存储的数据会随着电源关闭而丢失;而ROM则是一种非易失性存储器,其存储的数据在电源关闭后仍能保持不变。

本实验使用的是一个8位RAM,其具有256个存储单元,每个存储单元可以存储8位数据。

RAM可以进行读写操作,读操作是将存储单元中的数据读取到CPU中,写操作是将CPU中的数据写入到存储单元中。

存储单元的地址是由地址线来控制的,本实验中使用的是8位地址线,因此可以寻址256个存储单元。

三、实验仪器本实验使用的主要仪器有:存储器板、八位开关、八位数码管、八位LED灯、地址选择开关和地址计数器等。

四、实验过程1. 准备工作:将存储器板与开发板进行连接,并将八位开关、八位数码管、八位LED灯、地址选择开关和地址计数器等连接到存储器板上。

2. 设置地址:使用地址选择开关来设置需要读写的存储单元的地址。

3. 写操作:将需要存储的数据通过八位开关输入到CPU中,然后将CPU中的数据通过写信号写入到存储单元中。

4. 读操作:将需要读取的存储单元的地址通过地址选择开关设置好,然后通过读信号将存储单元中的数据读取到CPU中。

5. 显示操作:使用八位数码管或八位LED灯来显示读取到的数据或写入的数据。

6. 重复上述操作,进行多次读写操作,观察存储器的读写效果和数据变化情况。

五、实验结果通过本次实验,我们成功地进行了存储器的读写操作,并观察到了存储器中数据的变化情况。

在实验过程中,我们发现存储器的读写速度非常快,可以满足计算机的高速运算需求。

同时,存储器的容量也非常大,可以存储大量的数据和程序,为计算机提供了强大的计算和存储能力。

六、实验总结本次实验通过实践掌握了存储器的基本原理和实现方式,了解了存储器的读写操作。

计算机组成原理实验存储器

计算机组成原理实验存储器
• 实验成绩按百分制给。 • 实验报告册当堂写当堂交,过后实验成绩为0。
问题
• 从计算机体系结构的角度来看,计算机是 由哪几部分组成的?
• 运算器是由哪些部件组成的? • CPU是由哪几部分组成的?
解答
• 从计算机体系结构的角度来看,计算机是 由运算器、存储器、控制器、输入设备和 输出设备组成。
实验一 存储器实验
实验一 存储器实验
• 实验注意事项 1. 实验初始状态: “运行控制”开关置为“运行”状态,“运行方式” 开关置为“单步”状态。W1和W2旋钮应旋至中间 位置。 2.T3脉冲的产生: 每按动一次“启动运行”开关,T3输出一个正单脉 冲。 3. 所有控制信号的跳线接至左边手动方式。 4. 总清开关(LCLR)应为“1”,不能为“0”,为 “0”时,地址总线上的数据永远为00H。
实验一 存储器实验
• 实验接线 ⑴ MBUS连BUS2; ⑵ EXJ1连BUS3; ⑶ 跳线器J22的T3连TS3; ⑷ 跳线器J16的SP连H23; ⑸ 跳线器SWB、CE、WE、LDAR拨在左边
(手动位置)。

实验一 存储器实验
• 实验步骤 给存储器的00地址单元中写入数据11
一.写存储器 1.写地址:
• 本实验课的注意事项: (1)严禁用手摸实验箱上的芯片。 (2)严禁带电插拔接线。 (3)弄清实验原理。 (4)仔细检查硬件接线,要求每次实验同组互检,以免接线错误烧毁设备。 (5)接线时注意排线的方向性,排线方向用颜色加以区分,仔细检查接线
是否牢靠。 (6)每组一包线,每次实验时用多少拿多少,实验用完后放回塑料袋中。 (7)注意实验箱上跳线器的起始位置,不用的跳线器放在计算机桌的台板
实验一 存储器实验
• 教学实验系统出厂默认跳线: J1~J12 跳左边 J13~J16 跳右边 J17 、J28空 J18 、J19、J23、J24、J25 跳左边 J20、J21、J22、J26、J27接上跳线 编程开关,拔在“运行”状态 ; 运行程序开关,拔在“运行”状态; 运行方式开关,拔在“单步”状态; SWC,SWA 总清,拔在上面。

计算机组成原理存储器实验报告

计算机组成原理存储器实验报告

计算机组成原理存储器实验报告一、实验目的本次实验的目的是通过实际操作,了解存储器的组成和工作原理,掌握存储器的读写操作。

二、实验原理存储器是计算机中的重要组成部分,用于存储程序和数据。

存储器按照存储介质的不同可以分为内存和外存,按照存储方式的不同可以分为随机存储器(RAM)和只读存储器(ROM)等。

本次实验使用的是随机存储器,随机存储器是一种易失性存储器,数据在断电后会丢失。

随机存储器按照存储单元的位数可以分为8位、16位、32位等,按照存储单元的数量可以分为256×8、512×16、1024×32等。

存储器的读写操作是通过地址总线、数据总线和控制总线来完成的。

地址总线用于传输存储单元的地址,数据总线用于传输数据,控制总线用于传输控制信号。

三、实验器材1. 存储器芯片:AT24C022. 单片机:STC89C523. 电源、示波器、万用表等四、实验步骤1. 连接电路将AT24C02存储器芯片和STC89C52单片机按照电路图连接好,接上电源。

2. 编写程序编写程序,实现对AT24C02存储器的读写操作。

程序中需要设置存储器的地址和数据,以及读写操作的控制信号。

3. 烧录程序将编写好的程序烧录到STC89C52单片机中。

4. 运行程序运行程序,观察存储器的读写操作是否正确。

五、实验结果经过实验,我们成功地实现了对AT24C02存储器的读写操作。

在程序中设置了存储器的地址和数据,通过控制信号实现了读写操作。

在读操作中,我们可以看到存储器中的数据被正确地读出;在写操作中,我们可以看到存储器中的数据被正确地写入。

六、实验总结通过本次实验,我们深入了解了存储器的组成和工作原理,掌握了存储器的读写操作。

同时,我们也学会了如何使用单片机来控制存储器的读写操作。

这对于我们深入学习计算机组成原理和嵌入式系统开发都具有重要的意义。

计算机组成原理存储器实验报告

计算机组成原理存储器实验报告

计算机组成原理存储器实验报告
实验名称:计算机组成原理存储器实验
实验目的:通过实验验证存储器的基本原理,掌握存储器的基本操作方法。

实验原理:
计算机系统中的存储器是计算机系统中最基本的组成部分之一,也是最重要的组成部分之一。

存储器主要是用来储存计算机程序和数据的,计算机在执行程序时需要从存储器中读取指令和数据,将结果写回存储器中。

根据存储器的类型,存储器可以分为RAM和ROM两种类型。

RAM(Random Access Memory)是一种随机读写存储器,它能够随机存取任意地址的数据。

RAM又分为静态RAM(SRAM)和动态RAM (DRAM)两种类型。

其中,静态RAM(SRAM)是使用闪存电路实现的,其速度快、性能优异,但成本相对较高;而动态RAM(DRAM)是使用电容储存信息的,价格相对较低,但性能相对较差。

ROM(Read Only Memory)是只读存储器,它不能被随意修改,只能被读取。

ROM主要用来存储程序中需要固化的数据和指令,如BIOS和系统引导程序等。

实验步骤:
1. 打开计算机,将存储器连接到计算机主板上的插槽上。

2. 打开计算机并进入BIOS设置。

3. 在BIOS设置中进行存储器检测。

4. 在操作系统中查看存储器容量。

实验结果:
本次实验中,存储器检测结果显示正常,存储器容量为8GB,符合预期。

实验总结:
本次实验通过了解存储器的基本原理和操作方法,掌握了存储器
的检测和使用方法。

同时也深入了解了计算机系统中存储器的重要性和种类。

对于今后的计算机学习和使用将具有重要的帮助作用。

计算机组成原理实验五存储器读写实验

计算机组成原理实验五存储器读写实验

计算机组成原理实验五存储器读写实验实验五存储器读写实验⼀、实验⽬的1.掌握存储器的⼯作特性。

2.熟悉静态存储器的操作过程,验证存储器的读写⽅法。

⼆、实验原理1.静态存储器芯⽚的6116的逻辑功能2.存储器实验单元电路3.存储器实验电路三、实验过程1.连线1)连接实验⼀(输⼊、输出实验)的全部连线。

2)按逻辑原理图连接M-W、 M-R 两根信号低电平有效信号线。

3)连接A7-A0 8根地址线。

4)连接B-AR正脉冲有效信号2.顺序写⼊存储器单元实验操作过程1)把有B-AR控制开关全部拨到0,把有其他开关全部拨到1,使全部信号都处于⽆效状态。

2)在输⼊数据开关拨⼀个实验数据,如“00000001”,即16进制的01H。

把IO-R控制开关拨下,把地址数据送到总线。

3)拨动⼀下B-AR开关,即实现“1-0-1”,产⽣⼀个正脉冲,把地址数据送地址寄存器保存。

4)在输⼊数据开关拨⼀个实验数据,如“10000000”,即16进制的80H。

把IO-R控制开关拨下,把实验数据送到总线。

5)拨动M—W控制开关,即实现“1—0—1”,产⽣⼀个负脉冲,把实验数据存⼊存储器的01H号单元。

6)按表2-11所⽰的地址数据和实验数据,重复上⾯(1)、(2)、(3)、(4)4个步骤,顺序在存储器单元中存放不同的实验数据。

表2-11 推荐的典型实验数3.顺序读出存储器单元实验操作过程(1)在输⼊数据开关上拨⼀个地址(如00000001,即16进制数01H),拨下IO —R开关把地址数据送⼈总线。

(2)拨动⼀下B—AR开关,即实现“0—1—0”,产⽣⼀个正脉冲,把地址数据送地址寄存器(AR)保存。

(3)把IO—R开关拨上,切断输⼊开关与总线的联系。

(4)拨下M—R控制开关,把实验数据从存储器的01H号单元赌场送总线,验证实验数据是否与表2-11中的内容相符合。

(5)拨动IO—R开关,即实现“1—0—1”,产⽣⼀个负脉冲,把从存储器读出的实验数据从总线送输出显⽰电路L7—L0。

计算机组成原理存储器读写和总线控制实验实验报告

计算机组成原理存储器读写和总线控制实验实验报告

千里之行,始于足下。

计算机组成原理存储器读写和总线控制实验实验报告计算机组成原理存储器读写和总线控制实验实验报告摘要:本实验主要通过使用计算机系统的存储器读写和总线控制实验来深入了解计算机组成原理中存储器的工作原理和总线控制的相关知识。

实验过程中,我们通过搭建实验平台、编写程序,并通过数据传输和总线控制,实现了存储器的数据读写功能。

通过实际操作和观察实验结果,对存储器读写和总线控制有了更深刻的理解。

1. 引言计算机组成原理是计算机科学与技术专业的重要课程之一,它涵盖了计算机硬件的各个方面,包括处理器、存储器、总线等。

存储器是计算机中储存数据的地方,而总线则负责处理信息传输。

了解存储器读写和总线控制的原理对于理解计算机工作方式至关重要。

2. 实验目的本实验的主要目的是通过实际操作了解存储器读写和总线控制的原理,并掌握相应的实验技能。

具体来说,我们要搭建实验平台、编写程序,并通过数据传输和总线控制,实现存储器的数据读写功能。

3. 实验内容第1页/共3页锲而不舍,金石可镂。

3.1 实验平台搭建首先,我们需要搭建实验平台。

根据实验要求,我们使用了一个基于Xilinx FPGA的开发板,并连接上需要的外设设备。

3.2 编写程序接下来,我们需要编写程序,以完成存储器读写和总线控制的功能。

我们使用了Verilog语言,通过编写相应的模块和逻辑电路,实现了存储器的数据读写。

3.3 数据传输和总线控制在编写程序后,我们开始进行数据传输和总线控制。

通过向存储器发送读写指令,并传输相应的数据,我们能够实现存储器数据的读取和写入。

同时,通过总线的控制,我们能够实现数据在各个设备之间的传输。

4. 实验步骤1. 搭建实验平台;2. 编写程序;3. 数据传输和总线控制。

5. 实验结果与分析在实验过程中,我们成功搭建了实验平台,并完成了程序的编写。

通过数据传输和总线控制,我们能够准确读取和写入存储器中的数据。

通过观察实验结果,我们发现存储器读写和总线控制的效果良好,能够满足我们的需求。

存储器读写实验报告

存储器读写实验报告

存储器读写实验报告一、实验目的本次实验的主要目的是深入了解存储器的读写原理和操作过程,通过实际操作掌握存储器的读写方法,以及观察和分析存储器读写过程中的数据变化和相关特性。

二、实验原理存储器是计算机系统中用于存储数据和程序的重要组件。

在本次实验中,我们所涉及的存储器类型为随机存取存储器(RAM)。

RAM 具有可读可写的特性,其存储单元的地址和存储的数据之间存在着一一对应的关系。

当进行写操作时,将数据通过数据总线发送到指定的存储单元地址,并通过控制信号将数据写入该地址的存储单元中。

而在进行读操作时,根据给定的地址,通过控制信号从相应的存储单元中读取数据,并将其通过数据总线传输到外部设备。

三、实验设备与环境1、实验设备计算机一台存储器读写实验箱一套2、实验环境操作系统:Windows 10相关实验软件四、实验步骤1、连接实验设备将存储器读写实验箱与计算机正确连接,确保电源接通,各接口连接稳定。

2、打开实验软件在计算机上启动专门用于存储器读写实验的软件,进入实验操作界面。

3、设置存储器地址在软件界面中输入要进行读写操作的存储器地址。

4、进行写操作输入要写入的数据。

点击“写”按钮,将数据写入指定的存储器地址。

5、进行读操作输入之前写入数据的存储器地址。

点击“读”按钮,从该地址读取数据,并在软件界面中显示读取到的数据。

6、重复上述步骤,对不同的存储器地址进行读写操作,观察和记录数据的变化。

五、实验结果与分析1、实验结果记录在实验过程中,详细记录每次读写操作的存储器地址、写入的数据和读取到的数据。

|存储器地址|写入数据|读取数据||||||0x0000|0x55|0x55||0x0001|0xAA|0xAA||0x0002|0x12|0x12|||||2、结果分析通过对实验结果的分析,我们可以得出以下结论:(1)写入的数据能够准确无误地被存储在指定的存储器地址中,并且在进行读操作时能够正确地读取出来,这表明存储器的读写功能正常。

存储器读写实验

存储器读写实验

存储器读写实验(总5页)--本页仅作为文档封面,使用时请直接删除即可----内页可以根据需求调整合适字体及大小--《计算机组成原理》实验报告学院:专业:班级学号:学生姓名:实验日期:指导老师:成绩评定:五邑大学计算机信息学院计算机组成原理实验室实验三一、实验名称:存储器读写实验二、实验目的:1、牚握半导体静态随机存储器RAM的特性和使用方法;2、掌握地址和数据在计算机总线的传递关系。

3、了解运算器和存储器如何协同工作;三、实验内容:1、学习静态RAM的存储方式,往RAM的任意地址里存放数据,然后读出并检查结果是否正确。

2、组成计算机数据通路,实现计算机的运算并存储功能。

四、实验设备:EL-JY-II8型计算机组成原理实验系统一套,排线若干。

五、实验步骤:1、Ⅰ、单片机键盘操作方式实验2、注:在进行单片机键盘控制实验时,必须把K4开关置于“OFF”状态,否则系统处于自锁状态,无法进行实验。

3、实验连线:4、实验连线图如图3-4所示。

5、连线时应按如下方法:对于横排座,应使排线插头上的箭头面向自己插在横排座上;对于竖排座,应使排线插头上的箭头面向左边插在竖排座上。

(注意:F3只用一个排线插头孔)图3-4 实验三键盘实验接线图 2.写数据:6、 拨动清零开关CLR ,使其指示灯显示状态为亮—灭—亮。

7、在监控指示灯滚动显示【CLASS SELECt 】时按【实验选择】键,显示【ES--_ _ 】输入03或3,按【确认】键,监控指示灯显示为【ES03】,表示准备进入实验三程序,也可按【取消】键来取消上一步操作,重新输入。

再按【确认】键,进入实验三程序。

8、监控指示灯显示为【CtL= - -】,输入1,表示准备对RAM 进行写数据,在输入过程中,可按【取消】键进行输入修改,按 【确认】键。

9、监控指示灯显示【Addr- -】,提示输入2位16进制数地址,输入“00”按【确认】键,监控指示灯显示【dAtA 】,提示输入写入存储器该地址的数据(4位16进制数),输入“3344”按【确认】键,监控指示灯显示【PULSE 】,提示输入单步,按【单步】键,完成对RAM 一条数据的输入,数据总线显示灯(绿色)显示“000”,即数据“3344”,地址显示灯显示“0000 0000”,即地址“00”。

计算机组成原理实验报告

计算机组成原理实验报告

实验1 通用寄存器实验一、实验目的1.熟悉通用寄存器的数据通路。

2.了解通用寄存器的构成和运用。

二、实验要求掌握通用寄存器R3~R0的读写操作。

三、实验原理实验中所用的通用寄存器数据通路如下图所示。

由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。

图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。

RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。

DRCK信号为寄存器组打入脉冲,上升沿有效。

准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。

图2-3-3 通用寄存器数据通路四、实验内容1. 实验连线 K23~K0置“1”,灭M23~M0控位显示灯。

然后按下表要求“搭接”部件控制电路。

连线 信号孔 接入孔 作用有效电平 1 DRCK CLOCK单元手动实验状态的时钟来源上升沿打入 2 X2 K10(M10) 源部件译码输入端X2 三八译码 八中选一 低电平有效3 X1 K9(M9) 源部件译码输入端X14 X0 K8(M8) 源部件译码输入端X05 XP K7(M7)源部件奇偶标志:0=偶寻址,1=奇寻址6 SI K20(M20) 源寄存器地址:0=CX ,1=DX7 RWR K18(M18) 通用寄存器写使能低电平有效8 DI K17(M17) 目标寄存器地址:0=CX ,1=DX9OPK16(M16) 目标部件奇偶标志:0=偶寻址,1=奇寻址2. 寄存器的读写操作 ① 目的通路当RWR=0时,由DI 、OP 编码产生目的寄存器地址,详见下表。

通用寄存器“手动/搭接”目的编码目标使能通用寄存器目的编址功能说明 RW(K18) DI(K17) OP(K16) T0 0 0↑ R0写 0 0 1 ↑ R1写 0 1 0 ↑ R2写 011↑R3写② 通用寄存器的写入通过“I/O 输入输出单元”向R0、R1寄存器分别置数11h 、22h ,操作步骤如下:通过“I/O 输入输出单元”向R2、R3寄存器分别置数33h 、44h ,操作步骤如下:③ 源通路当X2~X0=001时,由SI 、XP 编码产生源寄存器,详见下表。

《计算机组成原理》存储器读写实验报告

《计算机组成原理》存储器读写实验报告

《计算机组成原理》实验报告实验名称:存储器读写实验班级:学号:姓名:一、实验目的1、掌握存储器的工作特征2、熟悉静态存储器的操作过程,验证存储器的读取方法二、实验设备1、YY—Z02计算机组成原理实验仪一台。

2、排线若干。

3、PC微机一台。

三、实验原理1.存储器是计算机的主要部件,用来保存程序和数据。

从工作方式上分类,其可分为易失性和非易失性存储器,易失性存储器中的数据在关电后将不复存在,非易失性存储器中的数据在关电后不会丢失。

易失性存储器又可分为动态存储器和静态存储器,动态存储器保存信息的时间只有2ms,工作时需要不断更新,既不断刷新数据;静态存储器只要不断电,信息是不会丢失的。

2.静态存储器芯片6116的逻辑功能:3.存储器实验单元电路:存储器实验单元电路控制信号逻辑功能表:4.存储器实验电路:存储器读写实验需三部分电路共同完成:存储器单元、地址寄存器单元和输入、输出单元。

存储器单元以6116芯片为中心构成,地址寄存器单元主要由一片74LS273组成,控制信号B-AR的作用是把总线上的数据送人地址寄存器,向存储器单元电路提供地址信息,输入、输出单元作用与以前相同。

四、实验结果记录(1)连线准备1.连接输入、输出实验的全部连线。

2.按实验逻辑原理图连接M-W、M-R两根信号低电平有效信号线。

3.连接A7—A0 8根地址线。

4.连接B-AR正脉冲有效信号线。

(2)记录结果(包含采集结果前的动作)地址写入数据读出数据结果说明01H 00100000 00100000 数据的写入与读取02H 00010011 00010011 数据的写入与读取03H 00100110 00100110 数据的写入与读取04H 10000001 10000001 数据的写入与读取05H 00000101 00000101 数据的写入与读取25H 不写存储器11110011 一个随机地址36H 00100001 00100001 数据的写入与读取0A0H 写总线悬空时的数据11111111 总线悬空时表示的数据是FFH,即写入的数据是11111111,所以读出结果为11111111。

计算机组成原理--实验报告

计算机组成原理--实验报告

实验一寄存器实验实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。

实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。

实验电路:寄存器的作用是用于保存数据的CPTH 用74HC574 来构成寄存器。

74HC574 的功能如下:--实验1:A,W 寄存器实验原理图寄存器A原理图寄存器W 原理图连接线表:--系统清零和手动状态设定:K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。

在后面实验中实验模式为手动的操作方法不再详述.将55H写入A寄存器二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H置控制信号为:按住STEP脉冲键,CK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。

放开STEP键,CK由低变高,产生一个上升沿,数据55H被写入A寄存器。

将66H写入W寄存器--二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据66H置控制信号为:按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W寄存器。

放开STEP 键,CK 由低变高,产生一个上升沿,数据66H 被写入W 寄存器。

注意观察:1.数据是在放开STEP键后改变的,也就是CK的上升沿数据被打入。

2.WEN,AEN为高时,即使CK有上升沿,寄存器的数据也不会改变。

实验2:R0,R1,R2,R3 寄存器实验连接线表--将11H、22H、33H、44H写入R0、R1、R2、R3寄存器将二进制开关K23-K16,置数据分别为11H、22H、33H、44H置控制信号为:K11、K10为10,K1、k0分别为00、01、10、11并分别按住STEP 脉冲键,CK 由高变低,这时寄存器R0、R1\R2\R3 的黄色选择指示灯分别亮,放开STEP键,CK由低变高,产生一个上升沿,数据被写入寄存器。

实验三:存储器读写实验

实验三:存储器读写实验

实验三:存储器读写实验计算机组成原理实验报告Computer Organization Lab Reports______________________________________________________________________________班级: __________ 姓名:___________ 学号:__________ 实验⽇期:_____________学院: _____________________________ 专业:__________________________________实验顺序:_______ 原创:___________ 实验名称:______________________________ 实验分数:_______ 考评⽇期:________ 指导教师:张旭______________________________________________________________________________⼀、实验⽬的熟悉和了解存储器逻辑结构与总线组成的数据通路及其基本的⼯作原理。

理解AR地址寄存器与PC地址寄存器的各⾃的作⽤。

⼆、实验要求按照实验步骤完成实验项⽬,掌握存储部件在原理计算机中的运⽤。

三、实验原理存储器是计算机的存储部件,⽤于存放程序和数据。

存储器是计算机信息存储的核⼼,是计算机必不可少的部件之⼀,计算机就是按存放在存储器中的程序⾃动有序不间断地进⾏⼯作。

本系统从提⾼存储器存储信息效率的⾓度设计数据通路,按现代计算机中最为典型的分段存储理念把存储器组织划分为程序段、数据段等,由此派⽣了数据总线(DBus)、指令总线(IBus)、微总线(µBus)等与现代计算机设计规范相吻合的实验环境。

实验所⽤的存储器电路原理如图3-1所⽰,该存储器组织由⼆⽚6116构成具有奇偶概念的⼗六位信息存储体系,该存储体系AddBus由IP指针和AR指针分时提供,E/M控位为“1”时选通IP,反之选通AR。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验五 存储器读写实验
一、 实验目的
1. 掌握存储器的工作特性。

2. 数学静态存储器的操作过程,验证存储器的读写方法。

二、 实验原理
存储器是计算机的主要部件,用来保存程序和数据。

从工作方式上分类,存储器可分成易失性和非易失性存储器,易失性存储器中的数据在关电后将不复存在,非易失性储器又可分为动态存储器和静态存储器,动态存储器保存信息的时间只有2ms ,工作时需要不断更新,既不断刷新数据;静态存储器只要不断电,信息是不会丢失的。

为简单起见,计算机组成实验用的是容量为2K 的镜头存储器6116。

1. 静态存储器芯片6116的逻辑功能
6116是一种数据宽度为8位(8个二进制位),容量为2048字节的态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。

6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态、输出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态;11根地址线A10-A0,
指示芯片内部2048个存储单元号;3根控制线CS
̅̅̅片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片保存信息不能进行读写;WE
̅̅̅为写入控制信号,低电平时,把数据线上的信息存入地址线A10-A0指示的存储
单元中;OE
̅̅̅为输出使能控制信号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数据线上。

芯片控制信号逻辑功能见表2-9。

图2-7 存储器部件电路图
2. 存储器实验单元电力路
因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片
的三根地址线A11-A8接地也没有多片联用问题,片选信号CS
̅̅̅接地使芯片总是处于被选中状态。

芯片的,WE.和OE
̅̅̅信号分别连接实验台的存储器写信号M −W ̅̅̅̅̅̅̅和存储器读写信号M −R
̅̅̅̅̅̅̅,存储器实验单元逻辑电路如图2-7所示。


种简化了控制过程的实验电路可方便实验进行,存储器实验单元电路控制信号逻辑功能见表2-10。

3. 存储器实验电路
存储器读/写实验需呀三部分电路共同完成:存储器单元(MEM UNIT )、地址寄存器单元(ADDRESS UNIT )和输入、输出单元(INPUT/OUTPUT UNIT )。

存储器单元以6116总线上的数据送入地址寄存器,向存储器单元电路提供地址信息,输入、输出单元作用与以前相同。

存储器实验的逻辑原理如图2-8所示。

图2-8 存储器实验电路逻辑图
三、 实验过程
1. 连线
(1) 连接实验一(输入、输出实验)的全部连线。

(2) 按实验逻辑原理图连接M −W ̅̅̅̅̅̅̅、M −R ̅̅̅̅̅̅̅两根信号低电平有效信号线。

(3) 连接A7-A0 8根地址线。

(4) 连接B-AR 正脉冲有效信号线。

2. 顺序写入存储单元实验操作过程
(1) 把B-AR 控制开关拨到0(因此信号是正脉冲有效),把其他控制开关全部拨
到1,使全部控制信号都处于无效状态。

(2) 在输入数据开关上拨一个地址数据(如00000001,即16进制01H ),拨
下,IO?R.开关,把地址数据送总线。

(3) 拨动一下B-AR 开关,实现“0-1-0”,产生一个正脉冲,把地址数据送地址
寄存器(AR )保存。

(4) IO −R ̅̅̅̅̅̅̅̅控制开关,把实验数据送到总线。

(5) 拨动M −W
̅̅̅̅̅̅̅控制开关,即实现“0-1-0”,产生一个负脉冲,把实验数据存入存储器的01H 号单元。

(6)按表2-11所示的地址数据和实验数据,重复上面(1)(2)(3)(4)(5)5个步骤,顺序在存储器单元中存放不同的实验数据。

3.顺序读出存储单元实验操作过程
(1)在输入数据开关上拨一个地址数据(如00000001,即16进制数01H),拨
̅̅̅̅̅̅̅̅开关把地址数据送地址总线。

下IO−R
(2)拨动一下B-AR开关,即实现“0-1-0”,产生一个正脉冲,把地址数据送地址寄存器(AR)保存。

(3)把,IO?R.开关拨上,切断输入开关与总线的联系。

̅̅̅̅̅̅̅控制开关,把实验数据从存储器的01H好单元读出送总线,验证实(4)拨下M−R
验数据是否与表2-11中的内容相符合。

̅̅̅̅̅̅̅̅开关,即实现“0-1-0”,产生一个负脉冲,把从存储器读出的实(5)拨动IO−W
验数据从总线送出显示电路L7-L0。

̅̅̅̅̅̅̅控制开关,使存储器处于保持状态。

(6)拨上M−R
(7)重复上面的(1)-(6)6个步骤,按顺序从地址01H-05H的存储器单元中读出的实验数据送输出显示电路L7-L0,验证读出数据与表2-11中的内容是否
相符。

4.随机读出存储器单元实验操作过程
重复上面(1)-(6)6个步骤,分别从地址36H,25H,03H 3个不连续的存储器单元中读出数据,验证实验数据是否与表2-11中的内容相符合,注意
地址25H这个存储单元中没有写入过实验数据,读出的内容应是随机值。

四、结果与总结
实验结果记录:
通过此次实验我初步学习了解了存储器的工作特性,也熟悉了静态存储器的操作过程,进一步也验证了存储器的读写方法。

之前只是在书本上学习存储器读写的操作,现在通过操作自己亲身体会了这个过程,使我们更加理解了存储器读写过程。

相关文档
最新文档