数字逻辑课程设计-—数字钟
数字逻辑电路数字钟课程设计报告模板
江苏大学计算机学院软件工程课程设计报告书课程名称数字逻辑电路课程设计总评成绩学生姓名、学号卢江涛**********学生专业班级软件工程软件1002班指导教师姓名赵念强一.设计目的(内容及功能)1、能进行正常的时、分、秒计时,用动态扫描的方式显示,需用6个数码管。
(1)用M6M5进行24进制小时的显示。
(2)用M4M3进行60进制分的显示。
(3)用M2M1进行60进制秒的显示。
2、利用按键实现“校时”、“校分”和“秒清0”功能。
(1)SA:校时键。
按下SA键时,时计数器迅速递增,按24小时循环,并且计满23时回到00。
(2)SB:校分键。
按下SB键时,分计数器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。
(3)SC:秒清零。
按下SC时,秒计数器清零。
要求按键均不产生数字跳变,因此须对“SA”、“SB”进行消抖处理。
3、能进行整点报时。
(1)在59分50、52、54、56、58秒按500Hz频率报时;(2)在59分60秒用1KHz的频率作最后一声正点报时。
4、更高要求:能进行闹时功能(1)闹时的最小时间间隙为10分钟,闹时长度为1分钟。
闹时频率可以自己设置。
(2)按下闹时按键SD后,将一个闹时时间数存入计数器内。
时钟正常运行时,闹时时间和运行的时间进行比较,当比较结果相同时输出一个启动信号,触发闹时电路工作,输出音频信号。
二、设计要求1、按自顶向下的层次化设计方法设计。
(1)顶层图(2)消抖电路用D触发器构成,SA、SB、SC为包含抖动的输入信号,而电路的输出则是一个边沿整齐的输出信号。
(3)计时(24进制计数器),计分(60进制计数器)、计秒(60进制计数器)模块可由10进制计数器连接构成,也可用VHDL语言完成。
10进制计数器需自己设计(用VHDL语言,与所做实验74160计数器相同),不能调用系统库。
(4)其他如分频电路、提供报时控制信号、闹时电路等模块用VHDL语言实现。
江苏大学数字逻辑课程设计数字时钟【范本模板】
JIANGSU NIVERSITY 课程设计报告学院:计算机科学与通信工程班级:网络工程姓名:学号:指导老师:报告日期:2016年1月15日目录Ⅰ.设计目的 0Ⅱ。
设计内容 0Ⅲ.设计原理 0Ⅳ.具体实现 (1)(1)顶层图 (1)(2)代码 (1)1。
24进制: (1)2. 60进制: (2)3。
动态显示: (3)4。
分频器: (4)5。
二路选择器: (5)6。
整点报时: (6)7。
闹钟设置: (7)8.alarmcmp: (8)9。
消抖: (8)Ⅴ。
心得体会 (9)Ⅰ.设计目的设计一个拥有:正常的时分秒计数功能,实现校时校分清零的功能,利用扬声器实现整点报时和闹钟功能的多功能数字钟.Ⅱ.设计内容整个系统分成七个模块进行:计时模块、校时模块、整点报时模块、分频模块、动态扫描模块,动态显示模块、闹钟模块。
l、能进行正常的时、分、秒计时功能,分别由6个数码显示24小时、60分钟的计数器显示。
2、能利用实验系统上的按钮实现“校时”、“校分”功能;3、能利用扬声器做整点报时:4、定时闹钟功能5、用层次化设计方法设计该电路,用硬件描述语言编写各个功能模块。
6、报时功能。
报时功能用功能仿真的仿真验证,可通过观察有关波形确认电路设计是否正确.Ⅲ。
设计原理1 计时模块:使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。
二十四进制用于计时,六十进制用于计分和计秒.给秒计数器一个1hz的时钟脉冲,分计数器以秒计数器的进位作为计数脉冲,时计数器以分计数器的进位作为计数脉冲。
2 校时模块:分别按下校时键和校分键,计数器增至所需时分数,按下清零键,秒计数器归零。
此处注意事项:①按键“抖动"消除。
利用触发器,如D触发器,利用D 触发器边沿触发的特性,在除去时钟边沿来之前一瞬间之外的绝大部分时间都不能接受输入,从而实现“消抖"。
②校分时,分计数器计数不应对小时位产生影响,因而需要屏蔽此时分计数器的进位信号.③需要设计二路选择器对于正常计数以及校时进行选择。
数字逻辑电路课设—简易数字钟设计
数字逻辑电路课程设计报告多功能数组钟设计一、设计要求:通过Maxplus II使用VHDL语言编写设计一款多功能数字钟,具体功能如下:1、时钟时,分,秒分别显示且能正确计数。
2、整点报时,时钟在将要到达整点的最后十秒,给予蜂鸣提示。
3、校时,可以通过相应开关按钮对时钟的时分秒进行调整。
4、闹钟,用户可以预设闹铃时刻,当时间到达该时刻时,发出蜂鸣提示。
二、总体设计:1、设计框图:2、外部输入输出要求:外部输入要求:输入信号有1024Hz时钟信号、低电平有效的秒清零信号CLR、低电平有效的调分信号SETmin、低电平有效的调时信号SEThour;外部输出要求:整点报时信号SOUND(59分51/3/5/7秒时未500Hz低频声,59分59秒时为1kHz高频声)、时十位显示信号h1(a,b,c,d,e,f,g)、时个位显示信号h0(a ,b,c,d,e,f,g)、分十位显示信号m1及分个位m0、秒十位s1及秒个位s0;数码管显示位选信号SEL0/1/2等三个信号。
3、各模块功能:1)FREQ分频模块:整点报时用的1024Hz与512Hz的脉冲信号,这里的输入信号是1024Hz信号,所以只要一个二分频即可;时间基准采用1Hz输入信号直接提供(当然也可以分频取得,这里先用的是分频取得的信号,后考虑到精度问题而采用硬件频率信号。
2)秒计数模块SECOND:60进制,带有进位和清零功能的,输入为1Hz脉冲和低电平有效的清零信号CLR,输出秒个位、时位及进位信号CO。
3)分计数模块MINUTE60进制,带有进位和置数功能的,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位及进位信号CO。
4)时计数模块HOUR:24进制,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位。
5)扫描模块SELTIME:输入为秒(含个/十位)、分、时、扫描时钟CLK1K,输出为D和显示控制信号SEL。
6)整点报时功能模块ALERT:输入为分/秒信号,输出为高频声控Q1K和Q500。
数字逻辑课程设计报告 电子钟
数字逻辑课程设计报告电子钟数字逻辑课程设计报告-电子钟数字逻辑电路―课程设计报告数字逻辑课程设计报告-----多功能数字钟的同时实现一.设计目的:1.学会应用领域数字系统设计方法展开电路设计。
2.进一步提高maxplusii软件开发应用领域能力。
3.培育学生综合实验能力。
二.实验仪器与器材:1、开发软件maxplusii软件2、微机3、isp实验板se_3型isp数字实验开发系统4、打印机三.实验任务及建议设计一个多功能数字钟:1.能进行正常的时、分、秒计时功能。
1)用m6m5展开24十进制小时的表明;2)用m4m3展开60十进制分的表明;3)用m2m1进行60进制秒的显示。
2.利用按键实现“校时”、“校分”和“秒清单”功能。
1)按下sa键时,计时器快速递减,按24小时循环,并且计满23时返回00。
2)按下sb键时,计时器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。
3)按下sc,秒清零。
建议按下“sa”或“sb”均不能产生数字LBP(“sa”、“sb”按键就是存有晃动的,必须对“sa”“sb”展开窭晃动处置。
)3.能够利用实验板上的扬声器并作整点报时功能。
1)当计时到达59分50秒时开始报时,在59分50、52、54、56、58秒鸣叫,鸣叫声频为500hz。
2)抵达59分后60秒时为最后一声整点报时。
整点报时的频率为1kz。
4.能够惹出时1)闹时的最小时间间隙为10分钟。
2)惹出时长度为1分钟。
3)惹出时声响就是单频的。
5.用maxplusii软件设计符合以上功能要求的多功能数字钟,并用层次化设计方法设计该电路。
1)通过语言同时实现各模块的功能,然后再图画出高电路的顶层图。
2)消抖电路可以通过设计一个d触发器来实现,sa、sb、sc等为包含抖动的诸如信号,而电路的输出则是一个边沿整齐的输出信号。
3)其他的计时功能、表明功能、多路挑选功能、分频功能、报时功能和惹出时等功能模块都用vhdl语言实现。
数字逻辑电路课程设计__数字钟综述
《数字逻辑》课程设计实验报告书题目:数字钟姓名:专业:班级:学号:指导教师:目录一、设计任务要求 (3)二、设计思想及说明 (4)三、设计和实现过程 (4)四、经验、体会总结 (12)五、参考文献 (13)一、设计任务与要求设计任务:设计一个具有整点报时功能的数字钟要求:1、设计一个有“时”、“分”、“秒”(11小时59分59秒)显示且有校时功能的数字钟。
2、有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。
3、计时过程具有整点报时功能,当时间到达整点前10秒进行报时。
4、用中小规模集成电路组成数字钟,并在实验箱上进行组装、调试。
5、画出框图和逻辑电路图。
功能:1、计时功能:要求准确计时,以数字形式显示时、分、秒的时间。
小时的计时要求为“12翻1”。
2、校时功能:当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。
校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。
为使电路简单,这里只进行分和小时的校时。
对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。
校时方式有“快校时”和“慢校时”两种。
“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。
“慢校时”是用手动产生单脉冲作校时脉冲。
3、仿广播电台整点报时:每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。
二、设计思想及说明数字钟原理框图下图所示,电路一般包括以下几个部分:切换电路、时分秒计数器、校时电路、整点报时电路及星期显示电路。
其基本原理是:秒计数器按“60进制”向分计数器进位,分计数器按“60进制”向时计数器进位,小时计数器按“24进制”规律计数,计数器经译码器送到显示器。
计数出现误差可用校时电路进行校时,校分,并具有可整点报时功能。
电路组成框图:三、设计和实现过程1.各元件功能74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。
数字逻辑课程设计(数字时钟)
武汉纺织大学《数字逻辑》课程设计报告题目:院系:专业班级:学号:学生姓名:指导教师:年月日一、引言《数字逻辑》课程设计是配合本课程课堂和实验教学的一个实践性教学环节。
其目的是巩固所学知识,提高实验动手能力,加强综合应用能力,启发创新思维。
其任务是让学生通过动手动脑进行大中型数字逻辑电路的设计、仿真、调试,巩固和应用所学的理论和实验技能;掌握应用EDA开发工具设计大中型数字电路系统的设计流程、仿真、检测技术直至下载到FPGA物理器件进行实际物理测试的能力;提高设计能力和实验技能,为以后进行毕业设计、电子电路的综合设计、研制电子产品等打下基础。
二、系统介绍1.设计平台介绍1)本次《数字逻辑》课程设计使用Altera公司的PLD/FPGA开发软件QuartusⅡ11.0和机房SOPC EDA工具箱。
2)本课程设计使用EP3C80F484C8逻辑芯片,需用Quartus创建一个工程,完成工程中各部分设计后画出总电路图,经过编译后分配管脚,下载到芯片中,在试验箱上连接导线,实现设计。
2.知识点及技术难点分析1)本次课程设计涉及到的知识点主要有:VHDL硬件语言、八段段显示器(数码管)相关知识、时序电路设计、EDA软件及试验箱的使用等。
2)其中较难的是时序电路的设计及EDA软件的使用。
三、设计任务及设计原理1.设计任务此次课程设计课题为数字电子逻辑电路设计,需在试验箱上实现一个24小时制动态显示的数字时钟,具有小时,分钟和秒的显示,且具有整点报时效果(例如在10:59:00开始,每隔2秒发出一次声音,前四次低频率,最后进位时发出高频率声响。
)2.设计原理1)这次课题中需用VHDL硬件语言编出秒钟、分钟、24小时制时钟、8选1数据选择器、八进制计数器、译码器、控制器等7个器件的功能。
2)用控制器实现控制整点报时,快速调小时、分钟,清零秒钟,分频功能。
4HZ脉冲信号经过控制器分频得到1HZ脉冲,512HZ和1024HZ脉冲控制蜂鸣器发出低频率和高频率声音3)秒钟由控制器分频的1HZ频率脉冲控制4)分钟正常情况下由秒钟的进位输出作为脉冲信号控制,在快速调整时间时由4HZ脉冲控制5)时钟同分钟一样。
数字逻辑课设报告数字电子钟
课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系班级:计算计科学与技术1班学号:学生姓名:队员姓名:指导教师:《数字逻辑》综合实验任务书一、目的与要求1 目的1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。
1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。
1.4提高学生运用所学的理论知识和技能解决实际问题的能及其基本工程素质。
2.要求2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。
2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。
要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。
2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。
2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。
利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。
2.5学会撰写综合实验总结报告。
2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。
要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。
2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。
二、主要内容数字电子钟设计一台能显示时﹑分、秒的数字电子钟,要求如下:1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器;2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。
元器件选择74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块 GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块 TDS-4实验箱导线若干所需要器件的图片如下1同步十进制计数器74LS162 3输入正与非门74LS002异步十六进制计数器74LS161 4 GAL20V8一、 设计(实验)正文数字钟实际上是一个对标准频率(1HZ )进行计数的计数电路。
《数字逻辑》数字时钟课程设计报告
《数字逻辑》课程设计报告题目数字时钟学院(部)信息工程学院专业计算机科学与技术班级计算机一班学生姓名学号201324026 月29 日至7 月 3 日共1 周指导教师(签字)题目一.摘要:钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。
诸如定时自动报有这些,都是以钟表数字化为基础的。
因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。
所现实的意义。
本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。
二.关键词:校时计时报时分频石英晶体振荡器三.技术要求:1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能;2、有计时功能,时钟不会在计时的时候停下。
计时范围是0~99秒;3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟;4、要在七段显示器(共阴极6片)显示时间;5、电子钟要准确正常地工作。
四、方案论证与选择:钟表的是长期使用的器件,误差容易积累由此增大。
所以要求分频器产生的秒脉冲要极其准确。
而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。
秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。
由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。
由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。
在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。
石英晶体我们选择的是振荡频率为2ⁿ(我们找到的最小振荡频率为n=15),与四个74LS161组成的计数器来分频,使振荡频率变为1HZ,这样秒脉冲就产生了。
数字逻辑数字时钟课程设计报告
4.2 EWB24 小时计时数字钟基本功能仿真结果 ....................................... 14 4.3EWB 电子钟整体仿真结果 ..................................................... 15 结束语 ........................................................................... 16
选用 EWB 软件,以计算机作为载体。通过使用 EWB 软件,设计实现一个 24 小
第二章
设计总体方案
8
4 计算机学院数字系统课程设计
2.1 总体方案框图
图 2.1 总体方案框图
2.2 设计原理
由 555 定时器构成的振荡器产生稳定的 1Hz 的脉冲信号,作为标准秒脉冲。秒计数
器计 60 后向分计数器进位,分计数器计满 60 后向小时进位,小时计数器设置成 24 进 制计数器,满 24 后清零,重新开始计时。计数器的输出直接送到 LED 显示器。计时出 现误差时可以用校时电路进行校时,校分,校时电路是由一开关接到一个高电位上,当 全按一下开关就传来一个高位脉冲,计数器加一。
2.1 总体方案框图 .............................................................. 4 2.2 设计原理 .................................................................. 4 2.3 元器件的选择及功能分析 ..................................................... 5 2.3.1 选择器件 ............................................................ 5 2.3.2 555 定时器的应用 ..................................................... 5 2.3.3 74290 的应用 ......................................................... 7 2.3.4 与门 ................................................................. 8 第三章 功能模块 ................................................................... 9 3.1 单元电路的设计 ............................................................ 9 3.1.1 秒脉冲发生器......................................................... 9 3.1.2 时间技术单元 ........................................................ 10 3.2 总体设计电路图 ............................................................ 12 第四章 EWB24 小时计时数字钟仿真结果 .............................................. 13
数字逻辑与数字系统课程设计--多功能数字钟
一、设计要求1.具有以二十四小时制计时、显示、整点报时、时间设置公能。
2.精度要求为1s。
二、系统功能简介1.计时:正常工作状态下每天按24小时制计时并显示,蜂鸣器无声,逢整点报时。
2.整点报时:蜂鸣器在59分钟的51、53、55、57、59秒时发出频率为512hz的低音,在59秒时发出1024hz的高音,结束时为整点。
3.显示:要求采用扫描显示方式驱动8个LED数码管显示小时、分、秒、横线。
4.调时和校时:当开关处于“k1”、“k2”处于“1”时正常计时,当k1处于“0”位置时可以对小时校时,当k2处于“0”位置时可以对分钟进行校时。
另外对六十进制计数器加了一个清零端,可以进行秒的复位。
三、系统简介1.开发系统:windows xp/982.开发软件:MAX+PIUS II3.开发芯片:EP1K10TC100—3四、主要模块简介此系统由计时调时模块、闹钟模块、定时模块、动显模块和分频模块组成。
数字钟系统总体结构框图:1 分频器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport (clk :in std_logic;f1024,f512,f4,f1 :out std_logic);end fenpin ;architecture behav of fenpin issignal q:std_logic_vector(9 downto 0);beginprocess(clk,q)beginif(clk'event and clk='1')thenif(q="1111111111")thenq<="0000000000";elseq<=q+1;报时 控制电路 控 计时校时 控制电路 显示 控制电路 整点报时 电路扫描显示 电路end if ;end if ;f1024<=clk;f512<=q(0);f4<=q(7);f1<=q(9);end process;end behav;2 二选一数据选择器library ieee;use ieee.std_logic_1164.all;entity mux2_1 isport(d0,d1,sel:in std_logic;q :out std_logic);end mux2_1;architecture amux of mux2_1 issignal temp1,temp2,temp3 :std_logic; begincale:blockbegintemp1<=d0 and sel;--1正常计时temp2<=d1 and (not sel);--0调时temp3<=temp1 or temp2;q<=temp3;end block cale;end amux;324进制计数器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mo24 isport(clk:in std_logic;qh,ql:out std_logic_vector(3 downto 0));end mo24;architecture wc of mo24 issignal qhh,qll:std_logic_vector(3 downto 0); beginprocess(clk)beginif(clk'event and clk='1') thenif(qll="0010" and qhh="0011")thenqhh<="0000";qll<="0000";elsif(qhh="1001")thenqll<=qll+1;qhh<="0000";else qhh<=qhh+1;end if;end if;qh<=qhh;ql<=qll;end process;end wc;460进制计数器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity m60 isport(clk,clr:in std_logic;qh,ql:out std_logic_vector(3 downto 0);co:out std_logic);end m60;architecture wc of m60 issignal qhh,qll:std_logic_vector(3 downto 0); beginprocess(clk)beginif(clr='0') thenqll<="0000";qhh<="0000";elsif(clk'event and clk='1') thenif(qll="0101" and qhh="1001")thenqhh<="0000";qll<="0000";co<='1';elsif(qhh="1001")thenqll<=qll+1;co<='0';qhh<="0000";else qhh<=qhh+1;co<='0';end if;end if;qh<=qhh;ql<=qll;end process;end wc;58进制器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mo8 isport(clk:in std_logic;qh:out std_logic_vector(2 downto 0));end mo8;architecture wc of mo8 issignal qhh:std_logic_vector(2 downto 0);beginprocess(clk)beginif(clk'event and clk='1') thenif( qhh="111")thenqhh<="000";elseqhh<=qhh+'1';end if;end if;qh<=qhh;end process;end wc;68选1数据选择器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux81 isport(a0,a1,a2,a3,a4,a5,a6,a7:in std_logic_vector(3 downto 0);b:in std_logic_vector(2 downto 0);q:out std_logic_vector(3 downto 0));end mux81;architecture wc of mux81 issignal qq:std_logic_vector(3 downto 0); beginprocess(b)begincase b iswhen "000"=>qq<=a0;when "001"=>qq<=a1;when "010"=>qq<=a2;when "011"=>qq<=a3;when "100"=>qq<=a4;when "101"=>qq<=a5;when "110"=>qq<=a6;when "111"=>qq<=a7;when others=>qq<="0000";end case;q<=qq;end process;end wc;77段译码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity yima7 isport(d:in std_logic_vector(3 downto 0);y:out std_logic_vector(6 downto 0)); end yima7;architecture wc of yima7 isbeginprocess(d)begincase d iswhen"0000"=>y<="1111110";when"0001"=>y<="0110000";when"0010"=>y<="1101101";when"0011"=>y<="1111001";when"0100"=>y<="0110011";when"0101"=>y<="1011011";when"0110"=>y<="1011111";when"0111"=>y<="1110000";when"1000"=>y<="1111111";when"1001"=>y<="1111011";when others=>y<="0000001";end case;end process;end wc;8报时器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity baoshi isport (m1,m0,s1,s0: in std_logic_vector(3 downto 0); clk:in std_logic;q: out std_logic);end baoshi;architecture rt of baoshi isbeginprocess(m0,m1,s1,s0)beginif rising_edge(clk) thenif m1="0101"and m0="1001" and s1="0101" thenif s0="0001"or s0="0011"or s0="0101" or s0="0111"or s0="1001" thenq<='1';else q<='0';end if;else q<='0';end if;end if;end process;9 顶层模块组合:五相关图形(一) 1.控制模块仿真图2.计时控制模块仿真图4.显示驱动模块仿真图:5.动态显示仿真图:6.闹铃模块仿真图:五、设计感言。
数字逻辑课程设计-电子时钟
《数字逻辑》课程设计报告题目:数字电子钟专业:网络工程班级: 14网络工程2班组长:钟伟邦(1414080903202)成员:刘雄锋(1414080903223)惠州学院计算机科学系二○一六年一月七日目录1 设计任务书2 总体方案设计2.1 功能和逻辑需求分析2.2 总体方案设计3 单元模块设计3.1 分秒计数器电路设计3.2 时计数器电路设计3.3总体电路设计(画出总体电路图)4 电路调试与测试4.1 时计数器4.2 分秒计数器5 总结附录(参考资料清单及元器件清单)1 设计任务书10.数字电子钟(*)设计一台能显示时﹑分、秒的数字电子钟,要求如下:1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器;2)可手动校正:分别对秒﹑分﹑时进行连续脉冲输入校正(校正时不能输出进位)。
本次数字时钟电路设计采用GAL系列芯片来分别实现时、分、秒的24进制和60进制的循环电路,并支持手动校正的功能。
2 总体方案设计用集成电路设计一台能自动显示时、分、秒的数字电子钟,只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲输入调整或连续脉冲输入的校正。
2.1 功能和逻辑需求分析本电路总共分为三个单元模块,分别为时,分,秒,其功能分别用于电子时钟上的时,分,秒的计算,其中,用GAL22V10设计24进制(十位为2进制,个位为4进制)的计数器用于实现时的计算功能(计数从00到23时清零),用GAL16V8D设计60进制的计数器(十位为6进制,个位为10进制)用于实现分和秒的计算功能(计数从00到59时清零并向前进位),当时钟计数到23时59分59秒时,使计数器的小时部分清零,进而实现整体循环计时的功能。
2.2 总体方案设计工作原理:当开关1为高电平时,在时钟信号作用下,时钟正常工作;当开关1为低电平时,时钟停止(状态保持)在2状态下,开关2/3/4为高电平时,时钟进入校正状态(通过时钟信号的连续输入进行校正)3 单元模块设计3.1分、秒计数器电路设计芯片类型:GAL16V8D前后级联系:当秒计数器到达59时,秒计数器的co会输出1,所以,与之连接的时计数器的cen此时输入1(分计数器进入正常工作状态),也就是说在下一个脉冲来临的时候,分计数器上的数字会加1,实现了秒到分的进位。
数字钟课程设计
数字钟 课程设计一、课程目标知识目标:1. 学生能够理解数字钟的基本概念,掌握其工作原理;2. 学生能够运用所学知识,分析并描述数字钟的组成部分及功能;3. 学生能够解释数字钟显示时间的原理,理解时、分、秒之间的关系。
技能目标:1. 学生能够运用所学知识,设计并制作一个简单的数字钟;2. 学生能够通过实际操作,掌握数字钟的调试方法,提高动手实践能力;3. 学生能够运用数学知识,解决数字钟显示时间的问题。
情感态度价值观目标:1. 学生培养对电子产品的兴趣,激发探索电子世界的热情;2. 学生通过合作学习,培养团队协作能力和沟通表达能力;3. 学生在学习过程中,培养解决问题的耐心和毅力,树立自信心。
课程性质:本课程为电子技术实践课程,结合理论知识,注重培养学生的动手能力和创新能力。
学生特点:五年级学生具有一定的数学和电子知识基础,对新鲜事物充满好奇心,喜欢动手实践。
教学要求:结合学生特点,课程设计应注重理论与实践相结合,强调学生的参与度和实践性,使学生在动手实践中掌握知识,提高能力。
通过本课程的学习,学生能够达到以上设定的具体学习成果。
二、教学内容本课程教学内容主要包括以下几部分:1. 数字钟的基本概念与工作原理- 数字钟的组成及各部分功能- 数字钟的工作原理及显示时间的原理2. 数字钟的设计与制作- 数字钟电路设计原理- 电路元件的选用与连接方法- 数字钟程序编写及调试3. 数字钟的实践操作- 实际操作数字钟的组装与调试- 故障排查与解决方法- 数字钟的优化与改进教学大纲安排如下:第一课时:数字钟的基本概念与工作原理- 引导学生了解数字钟的组成及功能- 讲解数字钟工作原理,分析时、分、秒之间的关系第二课时:数字钟设计与制作(一)- 讲解数字钟电路设计原理,展示电路图- 指导学生选用电路元件,学习连接方法第三课时:数字钟设计与制作(二)- 编写数字钟程序,进行初步调试- 分析调试过程中可能出现的问题及解决方法第四课时:数字钟实践操作- 学生分组进行数字钟的组装与调试- 教师巡回指导,解答学生疑问教学内容与教材关联性:本课程内容紧密围绕教材中关于数字钟的相关章节,结合实际操作,使学生在掌握理论知识的基础上,提高实践能力。
数字电路课程设计 数字钟逻辑电路设计
数字电路课程设计数字钟逻辑电路设计
数字钟逻辑电路设计可以参考如下步骤:
1. 确定所需功能:数字钟通常需要显示当前时间、设置闹钟、调整时间等功能。
根据需求确定需要实现的功能。
2. 设计时钟计时电路:时钟计时电路可以使用时钟发生器和计时器组合实现。
时钟发生器用于产生稳定的时钟信号,计时器用于记录时间。
可以选择使用74系列的计数器和分频器来实现。
3. 设计时钟显示电路:时钟显示电路可以使用数码管显示时钟的小时与分钟。
可以使用BCD码->数码管译码器芯片来实现。
4. 设计闹钟功能电路:闹钟功能可以使用定时器和蜂鸣器组合实现。
定时器用于设置闹钟时间,蜂鸣器用于发出闹钟提醒声音。
5. 设计按钮控制电路:按钮控制电路可以使用触发器和门电路组合实现。
触发器用于存储按钮状态,门电路用于控制不同功能的触发。
6. 连接各个模块:根据设计的电路模块连接各个模块,确保信号的正确传递和相互配合。
7. 进行测试和调试:对设计的数字钟逻辑电路进行测试和调试,确保各个功能都可以正常工作。
注意:数字钟逻辑电路设计需要具备一定的数字电路知识和电路设计经验。
在实际设计过程中可能还需要考虑一些细节问题,如时钟信号的精度、电源电压稳定性等。
数字逻辑课程设计数字时钟课程设计数电课程设计 数字电子技术
数字逻辑课程设计课题名称数字时钟班级姓名指导教师日期 2008-6-24前言自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。
然而随着时间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。
钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。
在很多实际应用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统,从而应用到实际工作与生产中去。
因此,研究数字时钟及扩大其应用,有着非常现实的意义。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路.目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择.目录前言 (2)目录 (2)题目 (2)摘要 (2)关键字 (3)设计要求 (3)正文 (3)1电路结构与原理图 (3)2数码显示器 (3)60进制计数和24进制计数 (4)校时 (7)振荡器 (8)3.计算、仿真的过程和结果 (9)鸣谢 (11)元器件清单 (11)参考文献 (11)总结与体会 (11)教师评语 (12)数字时钟的课程设计摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字逻辑电路课程设计__数字钟
《数字逻辑》课程设计实验报告书题目:数字钟姓名:专业:班级:学号:指导教师:目录一、设计任务要求 (3)二、设计思想及说明 (4)三、设计和实现过程 (4)四、经验、体会总结 (12)五、参考文献 (13)一、设计任务与要求设计任务:设计一个具有整点报时功能的数字钟要求:1、设计一个有“时”、“分”、“秒”(11小时59分59秒)显示且有校时功能的数字钟。
2、有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。
3、计时过程具有整点报时功能,当时间到达整点前10秒进行报时。
4、用中小规模集成电路组成数字钟,并在实验箱上进行组装、调试。
5、画出框图和逻辑电路图。
功能:1、计时功能:要求准确计时,以数字形式显示时、分、秒的时间。
小时的计时要求为“12翻1”。
2、校时功能:当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。
校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。
为使电路简单,这里只进行分和小时的校时。
对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。
校时方式有“快校时”和“慢校时”两种。
“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。
“慢校时”是用手动产生单脉冲作校时脉冲。
3、仿广播电台整点报时:每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。
二、设计思想及说明数字钟原理框图下图所示,电路一般包括以下几个部分:切换电路、时分秒计数器、校时电路、整点报时电路及星期显示电路。
其基本原理是:秒计数器按“60进制”向分计数器进位,分计数器按“60进制”向时计数器进位,小时计数器按“24进制”规律计数,计数器经译码器送到显示器。
计数出现误差可用校时电路进行校时,校分,并具有可整点报时功能。
电路组成框图:三、设计和实现过程1.各元件功能74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字逻辑课程设计
—数字钟
班级:计114
学号:119074122
姓名:石险峰
指导老师:苏小虎
一、任务与要求
设计任务:设计一个具有整点报时功能的数字钟
要求:
1、设计一个有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能的数字钟。
2、有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。
3、计时过程具有整点报时功能,当时间到达整点前10秒进行报时。
4、用中小规模集成电路组成数字钟,并在实验箱上进行组装、调试。
5、画出框图和逻辑电路图。
功能:
1、计时功能:
要求准确计时,以数字形式显示时、分、秒的时间。
小时的计时要求为“23翻1”。
2、校时功能:
当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。
校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。
3、整点报时:
每当数字钟计时快要到整点时发出声响,此实验设计当时钟计时到59分50秒时开始报时,持续10秒。
二、设计方案
电路组成框图:
图1 数字钟电路组成框图
数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。
其主要功能为计时、校时和报时。
利用60进制和24进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由24进制同步递增计数器完成小时计数。
秒、分、时之间采用同步级联的方式。
按键func_sel产生单脉冲,控制数字钟在计时/校时/校分/校秒四个状态间切换。
报时功能为整点来临时蜂鸣器发出声响。
三、设计和实现过程
1.各部分电路的设计过程
(1)时分秒计数器的设计
时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器
及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。
秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP 和ENT引脚是由秒钟显示电路的进位信号控制的。
分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。
可选两片74LS160设计较为简单。
时计数器是一个“23翻0”的特殊进制计数器,即当数字钟运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为00时00分00秒,实现日常生活中习惯用的计时规律。
可选两片74LS160设计。
图 2 60进制同步递增计数器
图3 24进制同步递增计数器(2)校时电路的设计
校时模块采用AHDL语言描述,源码如下:
SUBDESIGN COUNT_CONTROL
(
FUNC_SEL:INPUT;
SETN:INPUT;
SEC_CO,MIN_CO:INPUT;
SEC_EN,MIN_en,HOUR_EN:OUTPUT;
)
VARIABLE
Q[1..0]:DFF;
BEGIN
Q[].CLK=FUNC_SEL;
Q[]=Q[]+1;
IF(q[]>3)THEN Q[]=0;
END IF;
CASE Q[] IS
WHEN 0=>SEC_EN=VCC;
MIN_EN=SEC_CO;
HOUR_EN=MIN_CO;
WHEN 1=>SEC_en=gnd;
min_en=gnd;
hour_en=!setn;
when 2=>sec_en=gnd;
min_en=!setn;
hour_en=gnd;
when 3=>sec_en=!setn;
min_en=gnd;
hour_en=gnd;
end case;
end;
(3)整点报时电路的设计
因报时须在59分50秒开始,故可在分计时电路引出“5”“9”状态,秒计时电路引出“5”“0”状态,用与门连接后即可接到蜂鸣器,起到报时作用。
电路部分截图如下:
图 5 报时电路(4)显示电路及完整原理图
图表1显示电路
1/相关器件源码
counter6
subdesign counter6 (
clk:input;
q[2..0]:output;
)
variable ss:machine of bits(q[2..0]) with states
(s0=0,
s1=1,
s2=2,
s3=3,
s4=4,
s5=5
);
begin
ss.clk=clk;
table
ss=>ss;
s5=>s4;
s4=>s3;
s3=>s2;
s2=>s1;
s1=>s0;
s0=>s5;
end table;
end;
select_disp
subdesign select_disp (
sel[2..0]:input;
in0[3..0]:input;
in1[3..0]:input;
in2[3..0]:input;
in3[3..0]:input;
in4[3..0]:input;
in5[3..0]:input;
out[3..0]:output;
)
begin
case sel[] is
when 0=>out[]=in0[]; when 1=>out[]=in1[]; when 2=>out[]=in2[]; when 3=>out[]=in3[]; when 4=>out[]=in4[]; when 5=>out[]=in5[]; end case; end;
deled
SUBDESIGN deled
(
num[3..0]:INPUT;
a,b,c,d,e,f,g:OUTPUT;
)
BEGIN
TABLE
NUM[3..0]=>a,b,c,d,e,f,g; H"0" =>1,1,1,1,1,1,0; H"1" =>0,1,1,0,0,0,0; H"2" =>1,1,0,1,1,0,1; H"3" =>1,1,1,1,0,0,1; H"4" =>0,1,1,0,0,1,1; H"5" =>1,0,1,1,0,1,1; H"6" =>1,0,1,1,1,1,1; H"7" =>1,1,1,0,0,0,0; H"8" =>1,1,1,1,1,1,1; H"9" =>1,1,1,1,0,1,1; H"A" =>1,1,1,0,1,1,1; H"B" =>0,0,1,1,1,1,1; H"C" =>1,0,0,1,1,1,0; H"D" =>0,1,1,1,1,0,1; H"E" =>1,0,0,1,1,1,1; H"F" =>1,0,0,0,1,1,1; END TABLE;
END;
图表2数字钟原理图
3.部分电路的仿真图
(1)秒向分产生进位时,秒计数器高位计满,分进位信号的波形
(2)分向时产生进位时,分计数器高位计满,时进位信号的波形
(3)时计数器(24进制)的输出波形
(4)整点时,报时输出信号的波形
图中由低电平变为高电平的时刻为分钟59秒钟为50的时刻,
持续十秒为高电平,意为报警显示。
4.实物电路组装调试的方法、调试过程及遇到的问题:
根据电路图进行实物的连接。
首先分别进行秒、分、时电路的连接,进行调试,实现成功后再进行校时电路的连接,检验分校时的开关是否正确,然后检验时校时的开关。
最后连接报时电路。
连接完成将分调到59分,观察当秒为50时是否发声报警。
调试分、秒时,如果到59后立刻清零则表示运行正常。
调试小时部分时,如果在计数到23,下一时刻就清零则表示运行正常。
校时电路的调试为按下逻辑开关后,所对应的校时部分和秒同步,再按下后则停止。
报时电路的调试为:先将分调到59分,在秒到50时,蜂鸣器发出声响,持续十秒。
电路连接好后,打开电源有时会出现LED数码显示不稳定的现象,可能是数字电子技术试验箱接触不良的问题。
5.设计结论
此次的数字钟设计需要先进行仿真,再将电路连接出来,只有熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了。
四、经验、体会总结
在此次的数字钟设计过程中,让我更深刻的了解的各个计数器寄存器等等的器具,能跟好的使用它们,能更进一步地熟悉了相关芯片的结构及掌握了各芯片的工作原理和其具体的使用方法.在连接六进制,十进制,六十进制的进位及二十四进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能. 此次的数字钟设计重在于仿真和接线。
总的来说,通过这次的设计实验更进一步地增强了实验的动手能力。