阻塞与非阻塞

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第二章Verilog HDL语言与

VIVADO

赋值语句

⏹1 连续赋值语句assign

⏹assign语句

⏹用于对wire型变量赋值,是描述组合逻辑最常用的方法之一。

⏹例如assign c=a&b;

⏹//a、b可以是wire型变量或寄存器变量,c必须是wire型变量或其他线网型变量。

⏹2 过程赋值语局“=”和“<=”

⏹用于对reg型变量赋值

⏹在过程块中使用过程赋值语句。

结构说明语句always

⏹always块包含一个或一个以上的语句(如:过程赋值语句、条件语句和循环语句等),在运行的全过程中,在时钟控制下被反复执行。

⏹时钟有效边沿来了就执行。

⏹在always块中被赋值的只能是寄存器reg型变量。

⏹always块的写法是:always @ (敏感信号表达式)

⏹例如:

⏹always @ (clk)//只要clk发生变化就触发

⏹always @ (posedge clk)//clk上升沿触发

⏹always @ (negedge clk)//clk下降沿触发

⏹always @ (negedge clk1 or posedge clk2)// clk1下降沿触发,clk2上升沿也触发

⏹always @ (*)该语句所在模块的任何输入信号变化了都触发。

initial 语句用于对寄存器变量赋予初值

结构说明语句initial

阻塞与非阻塞

⏹阻塞的概念:

⏹在一个块语句中,如果有多条阻塞赋值语句,在前面的赋值语句没有完成之前,后面的语句就不能被执行,就像被阻塞了一样,因此称为阻塞赋值方式。

⏹非阻塞的概念:

⏹多条非阻塞赋值在过程块内同时完成赋值操作,多条语句相当于同时执行!

⏹非阻塞(non-blocking)赋值方式:

⏹赋值符号为<=,如b <= a ;

⏹阻塞(blocking)赋值方式:

⏹赋值符号为=,如b = a ;

⏹非阻塞和阻塞是截然不同的!

阻塞的研究

阻塞与非阻塞

非阻塞的研究

阻塞与非阻塞

非阻塞及阻塞的比较

阻塞与非阻塞

Verilog HDL语言与VIVADO 下一个知识点:条件语句

相关文档
最新文档