二分频器

二分频器
二分频器

实验:二分频器

一、实验目的及要求

通过本次实验进一步掌握 Modelsim SE 6.5c的仿真调试方法及过程思想,并且进一步学习理解语言门级结构建模的原理及方法,通过仿真达到学习知识的目的。通过反复阅读有关资料,能够熟练掌握二分频器的程序代码设计及其仿真结果分析。

二、实验程序及仿真结果

二分频的verilog代码

module clk_div(start,clk,Q);

input start,clk;

parameter N=1;

output Q;

reg Q;

integer T;

always @(posedge clk)

if(~start)

begin Q<=0;T<=1;end

else if(T<1)

T<=T+1;

else begin T<=1;Q=~Q;end

Endmodule

测试模块:

`timescale 1ns/1ns

module test_clk_div;

reg start,clk;

wire Q;

clk_div T1(start,clk,Q);

initial

begin

clk=0;start=0;

#100 start=1;

end

always #50 clk=~clk;

endmodule

三、实验小结

通过这次二分频器的实验仿真,让我进一步熟练掌握了Modelsim SE 6.5c仿真环境及其使用方法。提炼了思想N分频时提供了基础。

相关主题
相关文档
最新文档