FPGA学习发展方向本人整理的。

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

的学习流程FPGA相结合,把你的专业与FPGA 如果你数学比较好,就可以去做算法

如果你通信方面比较好,就可以做通信方面的东西,

如果你高频比较好,就可以做射频方面的东西,等等。

学习、发展方向FPGA

目前,在FPGA上有三种类型开发方法和应用方向:a、逻辑类应用b、软核类应用c、DSP类应用。

逻辑类应用我们接触的最早,也是FPGA最初的应用领域,大的应用上,一些数字IC设计可以在FPGA做前期的功能验证,在通信领域,FPGA做信号的编解码等等,小的应用上我们做的最多的实际是CPLD,完成信号的变换控制等等。

软核应用是前几年才兴起,现在热门的开发应用方法,在原本需要FPGA结合CPU的地方有成本和灵活性优势。FPGA的DSP应用是非常有潜力的,性能优势非常明显。开发方法是用Matlab 的simulink中嵌入厂商的开发工具包,算法验证在Matlab simulink工具下完成,在开发工具包的支持下生成HDL模块或者直接生成FPGA下载配置文件,这个方向是FPGA应用最有挑战能力领域。Mathworks公司不久前也推出了独立于FPGA厂商的Simulink HDL

Coder工具,使的Matlab在数字系统设计领域迈出了坚实的一步,把Simulink 模型和Stateflow 框图生成位真(Bit-Ture)、周期精确(Cycle-Accurate)、可综合的Verilog和VHDL设计实现的直接通道。FPGA代码,为Matlab simulink用户提供了通往培养目标

01、了解FPGA工艺结构,掌握FPGA芯片选型原则与策略;

02、精通FPGA设计相关软件的使用方法及技巧;

03、精通Verilog HDL设计与仿真、针对FPGA器件代码优化规则,建立RTL

设计与电路实体的对应概念;

04、掌握FPGA设计原则及常用IP模块的使用;

05、精通FPGA四种常用操作技巧、静态时序分析技巧;

06、掌握FPGA基于MATLAB/Simulink、DSP Builder等新型设计、验证工具的设计方法及技巧;

07、精通FPGA资源优化设计及低功耗设计;

08、掌握基于FPGA的接口互联系统设计;

架构; FPGA+DSP、09.

10、掌握基于FPGA的图像视频处理设计;

11、精通基于FPGA的SoPC设计方法;

课程大纲

第一阶段

第一部分FPGA设计流程

本课程主要介绍FPGA工艺结构、特点及FPGA芯片选型策略、原则;掌握课FPGA设计从RTL设计、功能仿真、综合等,直到在FPGA开发板上进行下程载验证的设计流程;使学员掌握FPGA设计流程,对FPGA设计有一个宏观目认识。标

第二部分Verilog HDL基础知识

本课程主要让学员掌握Verilog HDL的基本语法,能够进行较简单的RTL设课计,同时,建立HDL中逻辑运算符及RTL设计与电路实体的对应关系,深程刻理解存储器工作原理及其设计方法,及三态端口控制、双向控制等,为后目面的高级

编程打好基础。标

第三部分FPGA开发环境

本章主要学习FPGA开发工具的使用:Modelsim、Debussy仿真调试工具、课Synplify pro综合工具及FPGA开发系统Quartus的使用方法及技巧,且在程Quartus中集成调用Modelsim、Synplify等工具的方法;在上一章节完成RTL目设计的基础上,完整进行FPGA设计所有流程,掌握FPGA开发板下载、调标试的方法和技巧。

第四部分系统时序分析及处理

本课程旨在让学员充分理解时序分析理论,能够解决在项目开发中所遇到的课时序问题;且能够对跨时钟设计做出合理处理;能够精通时序分析工具的使程用,使其能够设计出满足时序要求的逻辑电路。目标

第二阶段

第一部分Verilog高级编码

本课程主要讲授Verilog HDL流水线设计、同步状态机设计及系统函数、任课务调用等高级编码知识,通过序列检测器、EEPROM读写器及RISC CPU等程由易至难的实验安排;同时,强化RTL设计与电路实体的对应关系,及针对目FPGA 器件的代码优化,使学员逐步掌握独立完成复杂逻辑设计的能力。标第二部分FPGA设计常用IP模块使用

课本章课程主要内容为FPGA设计中常用IP模块的使用(单/双口RAM、程DPRAM、FIFO、ROM及串行收发器等)的讲授,使学员在充分理解其结构目及工作原理、时序的基础上,能够在实际工程开发中精通其使用。标.第三部分FPGA设计原则与技巧

本课程主要讲授FPGA设计的一些原则(面积与速度平衡互换原则、硬件可实现原则及同步设计原则、低功耗设计原则等)及操作技巧(乒乓操作、串并转课换、流水线操作及数据同步等),使学员能够将这些原则及技巧应用到实际工程程开发中;同时,本章将讲述加法器、乘法器、乘累加器、减法器及除法器目在工程应用中的设计方法,在此基础上让学员完成常系数FIR滤波器设计;标还要求学员掌握使用基于IP核的设计方法和流程。

第四部分新型FPGA设计工具使用

本章课程主要讲授FPGA基于MATLAB、Simulink、DSP Builder等新型设计、课验证工具的设计方法及技巧,使学员能够利用这些新型开发工具更好地完成程FPGA设计。目标

第三阶段

第一部分基于FPGA的通信接口设计及外围接口设计

FPGA设计应用最为广泛的领域之一为接口互联,本章课程主要让学员掌握课外设通信接口的设计方法:在教员演示下完成一种通信接口的设计;在教员程指导下,独立完成其它通信接口设计,包括协议分析、完成设计文档、RTL目设计、FPGA芯片选型等流程。标

第二部分FPGA+DSP

课DSP应用是展示FPGA优势的最有效场合。通过本次课的学习,可以帮助学程员掌握使用FPGA实现DSP的基本理论和实现方法。掌握针对DSP的Verilog 目编程方法,实现如Cordic算法、FFT IP Core使用等经典内容。标第三部分基于FPGA的图像视频处理

FPGA设计应用最为广泛的领域之一为图像与视频处理,本章内容有:图像课和视频处理基础知识,使学员能够实现色彩空间变换、VGA控制器、JPEG 编程码基础、2D-DCT变换、视频处理体系及图像FIR滤波器设计与实现;视频目降噪算法设计与实现,基于FPGA的常用视频处理算法体系结构、边缘检测标算法等。

第四阶段

第一部分SoPC系统设计与应用

课本章课程使学员熟练掌握参数化库LPM模块的使用;精通FPGA中锁相环程模块及SignalTap的使用;精通SoPCBuilder的使用,能够用NiosII软件集成目开发环境IDE建立用户程序;掌握在NiosII系统中融入自己所设计IP的技标术。毕毕业设计将设计并实现一个真实和完整FPGA项目的开发流程,涉及方向为通信、数据采集、软件无线电、图像与视频处理等方面。要求学员将前面所业

学知识融入运用到实际项目开发中,培养学员的团队开发和协同工作能力,答辩强化学员完成标准设计文档能力,为以后的工作打下坚实基础。

FPGA 工程师的要求

语言及其于硬件电路之间的关系。1.Verilog系列的器件结构,及其资源于,器件结构(最好熟练掌握Spartan3Vertix42. Verilog行为描述方法的关系。)。。Synplify,Quartus,ISE,Modelsim)3.开发工具(熟练掌握触发器构成分频器,奇数倍分频占空D4.数字电路(组合电路,触发器,特别是语言描叙。)。,时序电路,并且能用Verilog比为50% 设计流程(仿真,综合,布局布线,时序分析)。熟悉FPGA5. 等资源的估算)。熟练掌握资源估算(特别是slice,lut,ram6.

7.同步设计原理。设计的波特熟练掌握基本概念(如建立时间,保持时间,流量(即所做FPGA8. 。竞争冒险,设计),消除毛刺的方法等等)率)计算,延迟时间计算(所做FPGA 具备具体设计经验(对应届生而言如毕业设计)。9.良好的设计思路(流水线设计即熟称打拍子,在速率资源功耗之间的折中考10. 虑)。现在FPGA基本方向:高速通讯、图像处理和SOC。若以SOC为发展方向,那么就要多研究研究Xilinx或者Altera的软核、和其某些产品里的硬核。研究他们的架构、开发工具、以及相应的嵌入式操作系统。如有的内嵌了ARM A系列硬核,应该研究相应的Linux等操作系统了。若以图像处理、视频处理为发展方向,那么应该多研究图像采样原理、色彩空间及其转化、图像格式及其标准、通讯方式、图像滤波、图像缩放、多屏显示、视频矩阵,甚至是图像识别等等。高速通讯,我没研究过,没法说什么。但是,据说这个方向应该对高速PCB有很深的研究。

FPGA主要应用:

、逻辑控制(逻辑接口领域)1.

处理高速接口的协议,并完成高速传统方向,主要用于通信设备的高速接口电路设计,用FPGA一方面通信领域需要高最初以及到目前最广的应用就是在通信领域,的数据收发和交换。FPGA因此能够非常不适合

相关文档
最新文档