微机原理课程设计报告——洗衣机控制系统

合集下载

《2024年全自动洗衣机控制系统的PLC设计》范文

《2024年全自动洗衣机控制系统的PLC设计》范文

《全自动洗衣机控制系统的PLC设计》篇一一、引言随着科技的不断发展,自动化技术在日常生活中扮演着越来越重要的角色。

全自动洗衣机作为现代家庭和工业洗涤的重要设备,其控制系统的设计对于提高洗涤效率、减少人力成本和保障设备稳定运行具有重要意义。

本文将介绍一种基于PLC(可编程逻辑控制器)的全自动洗衣机控制系统的设计方法。

二、系统概述全自动洗衣机控制系统主要由PLC、电机驱动模块、水位检测模块、温度检测模块、洗涤程序选择模块等组成。

其中,PLC 作为核心控制单元,负责接收用户输入的指令,并根据预设的逻辑算法控制各个模块的工作。

该系统能够实现自动进水、自动洗涤、自动排水、自动烘干等功能,大大提高了洗涤效率,降低了人力成本。

三、PLC设计1. 硬件设计在硬件设计方面,我们选用一款高性能的PLC作为核心控制器。

根据洗衣机的实际需求,我们设计了相应的输入输出接口,如洗涤程序选择开关、启动/停止按钮、水位检测传感器、电机驱动器等。

此外,为了保证系统的稳定性和可靠性,我们还对电源进行了合理设计,并采取了防雷、防过压等保护措施。

2. 软件设计在软件设计方面,我们采用梯形图编程语言进行编程。

根据洗衣机的实际工作过程,我们设计了相应的程序模块,如进水程序、洗涤程序、排水程序、烘干程序等。

每个程序模块都由一系列的逻辑指令组成,以实现洗衣机的自动控制。

此外,我们还设计了故障诊断和报警功能,以便及时发现并处理系统故障。

四、系统功能实现1. 自动进水功能当用户选择洗涤程序后,PLC会发送指令给电机驱动模块,使进水阀打开。

同时,水位检测模块会实时检测水位,当水位达到预设值时,PLC会发送指令关闭进水阀,完成自动进水功能。

2. 自动洗涤功能在洗涤过程中,PLC会根据用户选择的洗涤程序和洗涤时间,控制电机驱动模块和洗涤程序选择模块的工作。

同时,温度检测模块会实时检测洗涤水的温度,并根据需要调节加热器的工作状态。

在洗涤过程中,PLC还会根据水位和洗涤时间等因素调整电机的转速和洗涤时间,以达到最佳的洗涤效果。

PLC课程设计报告-全自动洗衣机

PLC课程设计报告-全自动洗衣机

电气技术及PLC课程设计说明书题目:全自动洗衣机PLC控制学院:航空自动化学院系别:自动化系专业名称:自动化专业班级:学号:姓名:指导教师:中国民航大学航空自动化学院目录1 系统总体方案设计 (1)1.1 系统控制要求分析1.2 系统主电路设计与设备选型(如需要设计的话)1.3 PLC控制系统硬件选型及其配置(要有系统组成图)2 PLC控制系统设计 (3)2.1 PLC控制系统I/O地址分配表及变量定义2.2 PLC系统I/O接线图设计2.3 PLC控制程序流程图设计2.4 PLC控制程序设计2.5系统可靠性分析与设计3 系统调试及结果分析……………………………………………………… 3.1 系统调试及解决的问题3.2 结果分析一、系统总体方案设计1.1 系统控制要求分析(1)按下电源按钮,洗衣机上电,拨动水位选择开关(高、中、低3档)根据洗衣量选择水位,按下启动按钮,开始进水直到所选择的高(或中、或低水位),停止进水;(2)2秒后开始洗涤过程;(3)洗涤时,正转30秒,停2秒,然后反转30秒,停2秒;(4)如此循环5次,开始排水,排空后脱水30秒;(5)开始清洗过程,重复(1)~(4)(此时不用再选择水的档位及按启动按钮,而是直接进水),清洗两遍;(6)清洗完成,报警3秒并自动停机,完成一次完整的洗涤过程。

此时并为下一次的洗涤过程做好了准备。

(7)在自动洗涤过程的洗涤和清洗阶段,若水有损耗,使得相应液位检测信号消失,则自动补水,直至相应液位检测信号有效。

(8)在自动洗涤过程中,有时间要求的,要显示当前工作步骤的剩余时间。

(9)若按下停车按钮(即退出自动洗涤过程,洗衣过程全停),可手动排水(不脱水)和手动脱水。

分析:PLC 投入运行,系统处于初始状态,准备好启动。

拨动水位选择开关,按下启动按钮时开始进水,水满(达到指定水位)时停止进水,2s后开始正转洗涤。

正转洗涤30s后暂停,暂停2s后开始反转洗涤。

洗衣机控制器课程设计

洗衣机控制器课程设计

摘要洗衣机控制器可以控制洗衣机的工作状态,同时对其状态加以显示,可以手动设置工作时间,在洗衣机工作时还可以加以控制,使其在工作和暂停之间进行进行转换。

该控制器启动后,先正转20秒,再暂停10秒,接着反转20秒,再停止,这一功能可以通过计数器的减数功能完成,用四个74LS194即可以实现分秒计数,同时用555定时器组成的多谐振荡器作脉冲产生器接入计数器来实现计数,用LED指示电机转动状态,当设置的时间到时,产生跳变脉冲,触发555定时器组成的单稳态触发器带动蜂鸣器工作,一段时间后停止响动。

本设计电路用Multisim软件进行仿真,它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

Multisim 10是该软件比较新的版本。

本次课程设计主要要求掌握原理图的设计、仿真、安装调试。

关键词:触发器多谢振荡器仿真 MultisimABSTRACTThe washing machine controller can control the working status, and its status, can be manually set time, work in the washing machine work also can be controlled in the work and suspended between the switches. This controller is started, the first 20 seconds, then suspended for 10 seconds, then reverse 20 seconds, then stop, this function can be observed by the counter with complete functions, which can be realized 74LS194 four seconds count, and with more than composed of 555 timing for pulse generator harmonic oscillator access to count, counter LED indicator when setting, motor rotation of time, jumping, triggering pulse 555 timing single steady-state flip-flop, drive buzzer after a period of time to stop shake.This circuit design software simulation with Multisim, it contains the circuit principle diagram of graphic, circuit hardware description language input mode, has the rich simulation analysis ability. Is this software is Multisim 10 new version. This course design main requiring schematic diagram of the design, installation, commissioning and simulation.Keywords: trigger thanks oscillator Multisim simulation目录1 设计任务与要求 (1)1.1 设计任务 (1)1.2 设计要求 (1)2 原理图设计 (2)2.1 总体设计概述 (2)2.1.1 设计总体思路 (2)2.1.2 基本原理 (2)2.1.3系统设计框图 (3)2.2 单元电路设计 (3)2.2.1分、秒计数器的设计 (3)2.2.2秒脉冲发生器 (6)2.2.3循环控制电路 (7)2.2.4单稳态延时电路 (10)2.3总电路设计 (11)3 Multism软件仿真 (14)3.1 Multism软件简介 (14)3.2 Multism软件的仿真应用 (15)3.2.1秒脉冲发生电路的仿真 (15)3.2.2计数器及循环电路的仿真 (15)3.2.3单稳态延时电路的仿真 (17)4 心得体会 (18)参考文献 (19)附录 (20)实物制作 (21)1 设计任务与要求1.1 设计任务设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:(1).洗衣机的工作顺序;启动——>正转20s ——> 暂行10s——> 反转20s—— >暂行10 s——>停止(2). 用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。

洗衣机电气控制系统设计

洗衣机电气控制系统设计

湖南工程学院课程设计课程名称电气控制与PLC 课题名称洗衣机电气控制系统设计专业班级姓名学号指导教师课题:洗衣机电气控制系统设计一.洗衣机概述洗衣机结构示意图如图1所示。

图1 洗衣机结构示意图洗衣机的洗衣桶(外桶)和脱水桶(内桶)是以同一中心安放的。

外桶固定,作盛水用。

内桶可以旋转,作脱水(甩干)用。

内桶和四周有很多小孔,使内、外桶的水流相通。

该洗衣机的进水和排水分别由进水电磁阀YV1和排水电磁阀YV2来执行。

进水时,通过电控系统使进水阀YV1打开,经进水管将水注入到外桶。

排水时,通过电控系统使排水阀YV2打开,将水由外桶排到机外。

洗涤正转、反转由洗涤电机M驱动波盘正、反转来实现,此时脱水桶并不旋转。

脱水时,通过电控系统将离合器CL合上,由洗涤电机M带动内桶正转进行甩干。

高、低水位开关SL2和SL1分别用来检测高、低水位。

起动按钮SB1用来起动洗衣机工作。

停止按钮SB2用来实现手动停止进水、脱水及报警。

排水按钮SB3用来实现手动排水。

二.设计要求该洗衣机的控制要求可以用图2所示的流程图来表示。

PC投入运行,系统处于初始状态,准备好起动。

起动时开始进水(YV1得电)。

水满(即水位到达高水位)时(SL2动作)停止进水并开始洗涤正转(KM1得电),正洗15S后暂停。

暂停3S后开始洗涤反转(KM2得电)。

反洗15S后暂停。

暂停3S后,若正、反洗未满3次,则返回到从正洗开始的动作处;若正、反洗满3次,则开始排水(YV2得电)。

水位下降到低水位时(SL1复位)开始脱水(YV3得电)并继续排水。

脱水10S 即完成一次从进水到脱水的大循环过程。

若未完成3次大循环,则返回到从进水开始的动作处,进行下一次大循环;若完成了3次大循环,则进行洗完报警(BE 得电)。

报警5s 后结束全部过程,自动停机。

此外,还要求能通过按排水按钮来实现手动排水;按停止按钮来实现手动停止进水、排水、脱水及报警。

初始状态进水洗涤正转启动高水位暂停洗涤反转15s3s暂停排水15s3s且小循环满20次脱水,排水报警低水位60s且大循环满3次停机5s60s且大循环3s且小循环未满20次未满3次小循环大循环运行图2 洗衣机流程图三.设计任务1.设计和绘制电气控制原理图或PC I/O 接线图、功能表图和梯形图,编写指令程序清单。

Verilog课程设计_洗衣机设计电路

Verilog课程设计_洗衣机设计电路

Verilog课程设计----洗衣机控制器设计要求:设计一个电子定时器,控制洗衣机作如下运转:定时启动--->正转20秒-->暂停10秒-->反转20秒-->暂停10秒-->定时未到,回到“正转20秒-->暂停10秒-->反转20秒-->暂停10秒”;若定时到,则停机发出音响信号。

用两个数码管显示洗涤的预置时间(分钟数),按倒计时的方式计时,直到时间到停机;洗涤过程由“开始”信号开始。

三只LED灯表示“正转”、“反转”、“暂停”三个状态。

设计过程中用三个表示状态的寄存器zz(正转)、fz(反转)、pause(暂停),以及三个寄存器表示LED灯,LED1表示zz;LED2表示fz;LED3表示pause。

用data_out1,data_out2显示预置时间。

Beep为音响信号。

实验源程序如下:`timescale 1ns/1ns //源程序的测试文件module tb_wash;parameter DELY=5;reg clk,start,sure;reg[6:0]count0;wire beep,led1,led2,led3,zz,fz,pause;wire [6:0]data_out1,data_out2;wash C1(data_out1,data_out2,beep,led1,led2,led3,zz,fz,pause,start,count0,sure,clk); initialbeginstart=0;sure=0;count0=7'b0000111;#DELY start=1;#(DELY*10) sure=1;#(DELY*20) sure=0;endalwaysbeginclk=0;#(DELY*2) clk=~clk;#(DELY*2) clk=~clk;//产生振荡时钟endinitial#(DELY*3000)$finish;endmodulemodulewash(data_out1,data_out2,beep,led1,led2,led3,zz,fz,pause,start,count0,sure,clk); input clk,sure;//sure用于输入数据之后的确定键,确定后洗衣机开始工作input[6:0]count0;//count0输入洗涤时间input start;//电源开关output [6:0]data_out1,data_out2;//数码管时间输出reg [6:0]data_out1,data_out2;output zz,fz,pause;reg zz,fz,pause;output led1,led2,led3;reg led1,led2,led3;reg[5:0]counts;output beep; //音响reg beep;reg[6:0]count;//计数分钟reg[3:0]countm;//计数秒wire [3:0]bcd_1;reg [3:0]bcd_2;reg signal;//用于控制音响信号initial begindata_out1=7'b0;data_out2=7'b0;counts<=6'b0;countm<=4'b0;count<=count0;bcd_2<=4'b0;zz<=0;fz<=0;pause<=0;beep<=0;led1<=0;led2<=0;led3<=0;signal<=0;endalways@(posedge clk)beginif(sure==1)beginsignal<=1;count<=count0;//对count赋初值endif((count>0)&&(start==1)&&(signal==1))beginif(counts==6'b111100)//60begincounts<=6'b1;count<=count-1;endelsebegincounts<=counts+1'b1;if(counts<=5'b10100) //20beginzz<=1;fz<=0;pause<=0;led1<=1;led2<=0;led3<=0;endelseif(counts<=6'b11110|counts>6'b110010&&counts<=6'b111011)//30 50~59 beginpause<=1;zz<=0;fz<=0;led2<=1;led1<=0; led3<=0;endelsebeginfz<=1;zz<=0;pause<=0;led3<=1;led1<=0; led2<=0;endendendif(signal==1&&count==0)//控制洗涤结束时音响响的时间beginif(countm==4'b1001)beep<=0;elsebegincountm<=countm+1;beep<=1;led2=0;pause=0;endendend//always//show the numberalways@(count)beginif(count>=7'd90)bcd_2<=4'd9;else if(count>=7'd80)bcd_2<=4'd8;else if(count>=7'd70)bcd_2<=4'd7;else if(count>=7'd60)bcd_2<=4'd6;else if(count>=7'd50)bcd_2<=4'd5;else if(count>=7'd40)bcd_2<=4'd4;else if(count>=7'd30)bcd_2<=4'd3;else if(count>=7'd20)bcd_2<=4'd2;else if(count>=7'd10)bcd_2<=4'd1;elsebcd_2<=4'd0;endassign bcd_1=count-bcd_2*4'd10; always@(bcd_1)//数码管个位的显示begincase (bcd_1)4'b0000:data_out1=7'b0111111;//04'b0001:data_out1=7'b0000110;4'b0010:data_out1=7'b1011011;4'b0011:data_out1=7'b1001111;4'b0100:data_out1=7'b1100110;4'b0101:data_out1=7'b1101101;4'b0110:data_out1=7'b1111100;4'b0111:data_out1=7'b0000111;4'b1000:data_out1=7'b1111111;4'b1001:data_out1=7'b1100111;default:data_out1=7'b0000000;endcaseendalways@(bcd_2)//数码管十位的显示begincase (bcd_2)4'b0000:data_out2=7'b0111111;//04'b0001:data_out2=7'b0000110;4'b0010:data_out2=7'b1011011;4'b0011:data_out2=7'b1001111;4'b0100:data_out2=7'b1100110;4'b0101:data_out2=7'b1101101;4'b0110:data_out2=7'b1111100;4'b0111:data_out2=7'b0000111;4'b1000:data_out2=7'b1111111;4'b1001:data_out2=7'b1100111;default:data_out2=8'b0000000;endcaseendendmodule程序编号之后在linux系统的nclaunch里面仿真出来波形如下:波形符合设计的要求。

PLC课程设计-全自动洗衣机控制系统设计全文

PLC课程设计-全自动洗衣机控制系统设计全文

可编辑修改精选全文完整版PLC课程设计-全自动洗衣机控制系统设计LT1 系统描述即设计要求1.1 自动洗衣机的介绍随着科学技术不断进步和社会飞速发展,洗衣机成为人民日常生活息息相关的家用电器产品。

洗衣机的全自动化、多功能化、智能化是其发展方向。

基于全自动洗衣机的应用日益广泛,本次设计利用三菱公司生产的PLC控制全自动洗衣机,与传统的继电器逻辑控制系统相比较,洗衣机可靠性、节能性得到了提高。

PLC控制不需要大量的活动部件和电子元器件,它的接线也大大减少,与此同时系统维修简单、维修时间缩短。

全自动洗衣机采用PLC控制系统将大大提高工作效率,和适应工作环境的能力。

在全自动洗衣机中,洗衣机洗涤、脱水程序是由单片机为中心控制系统工作的。

首先由于单片机的指令系统相对复杂,编写洗涤、脱水程序相对复杂;其次,在设计控制系统硬件时.要有多种电路保护装置,如电流保护、电压保护、过载保护、过热保护及欠压保护等等这样增加了硬件的复杂性,隐含较高的故障率无形地增加了维修成本费用,在各种控制系统中广泛运用的PLC能克服单片机的缺点。

它是整体模块,集中了驱动电路、检测电路和保护电路以及通讯联网功能。

因此在运用中,硬件也相对简单,提高控制系统的可靠性。

另外它的编程语言也相对简单。

1.2自动洗衣机的设计要求通过PLC实现的设计要求为:(1)按下启动按钮及水位选择开关,注水直到高(中、低)水位,关水;(2)2s后开始洗涤;(3)洗涤时,正转30s,停2s,然后反转30s,停2s;(4)如此循环5次,总共320s后开始排水,排空后脱水30s;(5)开始清洗,重复(2)~(5),清洗两遍;(6)清洗完成,报警3s并自动停机;(7)若按下停车按扭,可手动排水(不脱水)和手动脱水(不计数);若要求启动开关分为标准洗和轻柔洗,试改变有关输入点,并在程序中加入轻柔洗功能2 方案论证2.1 采用PLC系统:1)可靠性高,PLC作为一种通用的工业控制器,它必须能够在各种不同的工作环境中正常工作。

《2024年全自动洗衣机控制系统的PLC设计》范文

《2024年全自动洗衣机控制系统的PLC设计》范文

《全自动洗衣机控制系统的PLC设计》篇一一、引言随着科技的不断发展,自动化和智能化技术已广泛应用于各种家庭和工业设备中。

全自动洗衣机作为现代家庭生活的重要组成部分,其控制系统的设计直接关系到使用效率和用户体验。

本文将介绍一种基于PLC(可编程逻辑控制器)的全自动洗衣机控制系统的设计。

二、系统概述全自动洗衣机控制系统是一种基于PLC控制的智能化设备,它通过传感器、执行器等设备对洗衣过程进行精确控制,实现洗衣、漂洗、脱水等过程的自动化。

该系统主要由洗衣机本体、PLC控制器、传感器、执行器等部分组成。

三、系统设计1. 硬件设计(1)PLC控制器:作为整个系统的核心,PLC控制器负责接收用户指令、处理传感器数据、控制执行器等任务。

选择合适的PLC控制器是保证系统稳定性和可靠性的关键。

(2)传感器:传感器负责检测洗衣过程中的各种参数,如水位、温度、转速等。

常见的传感器包括水位传感器、温度传感器、转速传感器等。

(3)执行器:执行器根据PLC控制器的指令,控制洗衣机的各种动作,如进水阀、排水阀、电机等。

2. 软件设计(1)控制系统程序:控制系统程序是PLC控制器的核心软件,它负责接收用户指令、处理传感器数据、控制执行器等任务。

程序设计应考虑系统的稳定性和可靠性,以及用户界面的友好性。

(2)通信协议:为了实现PLC控制器与上位机(如手机APP)的通信,需要设计一套通信协议。

通信协议应考虑数据的传输速度、数据格式、错误检测与纠正等问题。

四、系统功能1. 洗衣过程控制:系统能根据用户设定的洗衣程序,自动完成洗衣、漂洗、脱水等过程。

2. 智能检测:系统能通过传感器实时检测洗衣过程中的各种参数,如水位、温度、转速等,确保洗衣过程的稳定性和安全性。

3. 故障诊断:系统能实时监测设备的运行状态,一旦发现故障,能自动报警并提示用户进行维修。

4. 远程控制:通过手机APP等设备,用户可以远程控制洗衣机的运行,实现远程洗衣的功能。

数电课程设计洗衣机控制系统

数电课程设计洗衣机控制系统

课程设计报告课程设计题目:简易洗衣机控制系统班级:学号姓名:指导教师:设计时间:2015年7月目录摘要第1章概述第2章课程设计任务及要求2。

1 设计任务2.2 设计要求第3章系统设计3.1 方案论证3。

2 系统设计3.2.1 结构框架图及说明3.2。

2 系统原理图及工作原3.3 单元电路设计3。

3.1单元电路工作原理3。

3。

2元件参数选择第4章软件仿真4。

1 仿真电路图4.2 仿真过程4.3仿真结果第5章安装调试(略)5.1调试要点5。

2 调试过程中出现的问题及解决方法第6章结论第7章使用仪器设备清单参考文献收获、体会和建议摘要本设计实现电机的正传、反转、暂停,用两个 LED灯的三种状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,正好一个60秒减计数器可以构成一个循环结构。

循环的总时间可以用一个外围100进制减计数器解决,并用两个数码管显示时间。

这样一个电路的主体电路就构造出来了,然后一步步实现其具体的功能。

用一个74LS74触发器控制整个电路的停止和清零以及连接蜂鸣器计构成报警模块。

信号发生器仿真时就直接用方波信号代替,安装电路的时候就直接用脉冲信号。

设置两个开关以控制电路的启动和随时停止。

其基本原理是:首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。

当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

关键词:计数器指示灯触发器第1章概述洗衣机的简易定时控制系统要求实现电机的正传、反转、暂停,需要用4个LED灯的状态来表示,一个周期为60s,前20秒正传、暂停10秒、反转20秒、再暂停10秒。

因此需要分钟计数器、秒计器、秒脉冲产生器脉。

洗衣机控制系统课程设计报告

洗衣机控制系统课程设计报告

目录一.课程设计的目的。

二.所用到的实验设备。

三.课程设计的基本流程。

1.课程设计的选题.2.控制要求.3.确定I\O分配.4.根据I/O分配得出如下PLC外部接线图.5.选择PLC机型.6.编写程序调试程序,对重点及难点的程序进行分析说明.a. 启动电路.b. 洗涤时间与甩干时间设值传送电路.c. 选择水位高低与正常/柔和的洗涤方式.d.正常洗涤和柔和洗涤的时间.f. 正常洗涤和柔和洗涤时控制电机的工作流程.g.自动排水电路.h.甩干与洗涤周期计数环节.I.输出指示灯电路.j.解除互锁电路.7.触摸屏部分四.心得体会。

一.课程设计的目的.1.认识PLC实物,掌握PLC各部分硬件的作用.2.掌握CP—X软件的应用及基本逻辑指令定时器计数器传送指令互锁电路微分电路的综合应用.3.根据洗衣机的控制系统要求,编写出梯行图程序.4.培养综合运用所学的基础理论和专业知识,分析和解决实际工程技术问题的能力.二.所用到的实验设备.计算机PLC CP—X软件EB500–软件三.课程设计的基本流程.1.课程设计的选题:PLC用于全自动洗衣机.2.控制要求:a.使用洗衣机的操作顺序是:接通电源;将衣服放入桶中;加入洗衣粉;设置洗涤时间和甩干时间;选择水位;选择洗涤方式;关上门盖;打开水龙头.b.水位调节旋钮[高] [中] [低] [少]4种可供选择.c.洗涤方式有正常洗涤和柔和洗涤两种,在正常洗涤时电机的工作流程是:正转27s 停3秒反转27s 停3秒,循环工作;在柔和洗涤方式时电机的工作流程是:正转10s 停10s 反转10s 停10s,循环工作.知道洗涤时间结束.d.洗涤完后,自动排水甩干进水.进入第2遍洗涤.当洗涤次数到时自动排水甩干,自动关闭电源.3.确定I\O分配.I/O分配表输入输出0.00 SB0 电源开启按钮开关100.00 少水位指示灯0.01 SB1 电源关闭按钮开关100.01 低水位指示灯0.02 SB2 数据传输按钮100.02 中水位指示灯0.03 SB3 正常洗涤按钮100.03 高水位指示灯0.04 SB4 停止洗涤按钮100.04 电源指示灯0.05 SB5 柔和洗涤按钮100.05 水龙头指示灯1.00 SQ0 少水位传感器100.06 门盖指示灯1.01 SQ1 低水位传感器100.07 排水指示灯1.02 SQ2 中水位传感器101.00 甩干指示灯1.03 SQ3 低水位传感器101.01 正转指示灯1.04 SQ4 门盖传感器101.02 反转指示灯1.05 SQ5 水龙头进水开关101.03 停转指示灯1.08 SB18 少水位选择洗涤按扭101.04 正常洗涤指示1.09 SB19 低水位选择洗涤按扭101.05 柔和洗涤指示1.10 SB20 中水位选择洗涤按扭1.11 SB21 高水位选择洗涤按扭4.根据I/O分配得出如下PLC外部接线图.5.选择PLC机型.根据I\O分配表可选,小型OMROW(输入为24点,输出为16点)6.编写程序调试程序,对重点及难点的程序进行分析说明.a. 启动电路.b. 洗涤时间与甩干时间设值传送电路.设值时间完后,按SB2 W3.05得电自锁.根据所给出的通道进行传送数值.c. 选择水位高低与正常/柔和的洗涤方式.在选择洗涤方式时,以互锁电路的形式来防止同时得电运行的情况.按钮I1.09至I1.11是不同水位选择的洗涤按钮.如你选择I1.09低水位洗涤按钮和SB5柔和洗涤按钮时,当传感器感应到水位到达少水时,就自动洗涤.a.正常洗涤和柔和洗涤的时间.定时器07和定时器08里的d0和d1的数值控制了正常洗涤和柔和洗涤时间的长短.当定时时间到来时,本程序停止执行,将自动执行以下的程序排水环节.f. 正常洗涤和柔和洗涤时控制电机的工作流程.正常循环洗涤程序柔和循环洗涤程序g.自动排水电路。

微机原理课程设计-全自动洗衣机

微机原理课程设计-全自动洗衣机

微机原理课程设计目录第一章设计内容及要求 (2)1.1 设计内容及要求 (2)1.2 课程设计的要求 (2)第二章系统的总体设计方案 (3)2.1 8086对全自动洗衣机进行控制的主要分析 (3)2.2 设计思想 (3)第三章硬件设计 (4)3.1 硬件设计概要 (4)3.2 所用到的芯片及其个自功能介绍 (4)3.3 硬件电路设计系统原理图及其说明 (10)3.4系统的电路图 (11)第四章软件设计 (12)4.1程序流程 (12)4.2源程序及其说明 (14)第五章系统调试与使用 (25)第六章收获体会 (26)第一章设计内容及要求1.1 设计内容及要求选用 8086 和适当的存贮器及接口芯片完成1、六挡水位显示(50、45、40、35、30、25 升);五档洗衣时间(18、15、12、9、6分钟);三档清洗(3、2、1分钟)、五档脱水(6、5、3、2、1 分钟);两位数码管显示总时间;有上电、启动和暂停按钮。

2、工作顺序:插上电源各档均在最大值,选择各档的按钮可以依次向下调节档位,然后按下启动按钮洗衣机按设定的程序进行工作。

3、开始数码管显示为总的洗衣所用时间,在洗衣机工作时,数码管显示的时间为洗衣所剩时间。

4、为了安全起见,当洗衣机盖打开时,洗衣机停止工作,在洗衣机盖关闭后,在继续进行工作。

5、洗衣机可根据水位和工作要求自动进行开启和关闭水阀。

1.2 课程设计的要求设计出电路原理图,说明工作原理,编写程序及程序流程图。

第二章系统的总体设计方案2.1 8086对全自动洗衣机进行控制的主要分析图1全自动洗衣机示意图输入设备主要有电源按钮、启动按钮、水位选择、水位开关、排水按钮、及脱水按钮。

输出设备主要有电源指示灯、水位选择按钮信号灯、进水电磁阀、洗涤电动机正转接触器、排水电磁阀、脱水离合器及蜂鸣器等。

2.2 设计思想首先构思系统的总体结构,根据设计要求确定好系统大致的硬件组成及其结构,其次根据系统的各个功能把软件分成几个不同的模块,依次实现各个模块的功能,最后把各个模块组合起来完成整个系统的功能。

PLC课程设计报告-全自动洗衣机026591

PLC课程设计报告-全自动洗衣机026591

目录一、绪论...................................................................................................................................... - 1 -1.1.全自动洗衣机的应用现状 (1)1.2.设计全自动洗衣机的意义 (1)1.3.全自动洗衣机主要实现功能 (1)二、硬件电路的实现.................................................................................................................. - 2 -2.1 主控电路设计............................................................................................................... - 2 -2.1.1 PLC简介 ......................................................................................................... - 2 -2.1.2 控制器I/O口分配表 ........................................................................................ - 4 -2.1.3 全自动洗衣机PLC控制的硬件图................................................................... - 5 -2.2 单相异步电动机驱动控制电路设计........................................................................... - 5 -2.3 报警电路设计............................................................................................................... - 8 -2.4 进排水控制电路设计................................................................................................... - 9 -2.4.1 进水阀阀门简介................................................................................................ - 9 -2.4.2 进水阀门控制电路设计.................................................................................. - 11 -2.4.3 排水阀门简介.................................................................................................. - 12 -2.4.4 排水阀门控制电路设计.................................................................................. - 14 -2.5 水位检测电路设计..................................................................................................... - 15 -三、软件程序实现.................................................................................................................... - 16 -3.1 软件开发环境简介..................................................................................................... - 16 -3.2 全自动洗衣机程序流程图......................................................................................... - 17 -3.3全自动洗衣机功能梯形图编程实现.......................................................................... - 19 -3.4 系统调试..................................................................................................................... - 23 - 结束语 .. (25)参考文献 (26)致谢 (27)附录 (28)指令表清单: 26一、绪论1.1.全自动洗衣机的应用现状目前洗衣机是一种耳熟能详的家用电器, 随着科技的进步和人们生活质量的提高, 以及人们对精神文明的高度追求, 那么全自动洗衣机也揭开了面纱, 现在做的比较好的, 国内有小天鹅、海尔、荣事达等等, 国外有西门子, 博世等等。

《2024年全自动洗衣机控制系统的PLC设计》范文

《2024年全自动洗衣机控制系统的PLC设计》范文

《全自动洗衣机控制系统的PLC设计》篇一一、引言随着科技的不断进步,自动化已成为现代生活的重要组成部分。

全自动洗衣机作为家庭和商业场所的常见设备,其控制系统的设计对于提高洗涤效率、减少人工操作和保障设备稳定运行具有重要意义。

本文将详细介绍全自动洗衣机控制系统的PLC(可编程逻辑控制器)设计,包括其设计思路、实现方法和应用价值。

二、全自动洗衣机控制系统概述全自动洗衣机控制系统主要包括洗涤、漂洗、脱水等过程,通过传感器、执行器和控制器等设备的协同工作,实现对洗衣机的智能控制。

其中,PLC作为控制系统的核心,负责接收传感器信号、处理逻辑关系并控制执行器的工作。

三、PLC设计思路1. 硬件设计在硬件设计方面,需要选择合适的PLC、传感器、执行器等设备。

其中,PLC应具备高可靠性、高速度和高精度的特点,以满足洗衣机的控制需求。

传感器包括水位传感器、温度传感器、压力传感器等,用于检测洗衣机的各种状态。

执行器包括电机、电磁阀等,用于实现洗衣机的各种动作。

2. 软件设计在软件设计方面,需要编写PLC的控制程序。

首先,根据洗衣机的控制需求,设计出相应的控制流程图。

然后,使用PLC的编程语言(如梯形图、指令表等)编写控制程序。

在编写过程中,需要考虑程序的可靠性、可读性和可维护性。

此外,还需要对程序进行调试和优化,以确保其在实际运行中的稳定性和性能。

四、实现方法1. 传感器信号采集与处理传感器负责采集洗衣机的各种状态信息,如水位、温度、压力等。

这些信号经过传感器转换为电信号后,被输送至PLC的输入端口。

PLC对信号进行处理后,根据预设的逻辑关系判断洗衣机的当前状态。

2. 控制逻辑实现PLC根据传感器的信号和处理结果,按照预设的控制逻辑控制执行器的工作。

例如,当水位达到设定值时,PLC会控制进水阀关闭;当洗涤时间到达设定值时,PLC会控制电机停止工作等。

此外,PLC还可以根据洗衣程序的要求,自动切换不同的洗涤、漂洗和脱水等过程。

《2024年全自动洗衣机控制系统的PLC设计》范文

《2024年全自动洗衣机控制系统的PLC设计》范文

《全自动洗衣机控制系统的PLC设计》篇一一、引言随着科技的不断进步,全自动洗衣机已经成为现代家庭中不可或缺的家电设备。

为了满足用户对洗衣机的操作简便、高效、智能化的需求,本文将详细介绍全自动洗衣机控制系统的PLC (可编程逻辑控制器)设计。

本文首先阐述全自动洗衣机的应用背景及PLC控制系统的意义,然后详细介绍系统的设计原理、硬件组成、软件设计以及实际运行效果。

二、全自动洗衣机的应用背景及PLC控制系统的意义全自动洗衣机以其方便、省力、高效的特点,成为现代家庭的重要家电。

其通过PLC控制系统,可实现洗衣过程的自动化、智能化。

PLC控制系统作为洗衣机的核心控制单元,可以精确控制洗衣机的各项功能,如进水、洗涤、漂洗、脱水等,从而提高洗衣效率,降低能耗,满足用户需求。

三、全自动洗衣机控制系统的设计原理全自动洗衣机控制系统的设计原理主要基于PLC控制器的逻辑控制功能。

PLC通过读取用户输入的指令,如洗涤模式、洗涤时间、洗涤温度等,然后根据预设的逻辑关系,控制洗衣机的各个部件(如电机、进水阀、排水阀等)进行相应的动作,实现全自动洗衣。

四、硬件组成全自动洗衣机控制系统的硬件组成主要包括PLC控制器、传感器、电机、进水阀、排水阀等。

其中,PLC控制器是核心部件,负责接收用户指令并控制其他部件的动作。

传感器用于检测洗衣机的状态,如水位、温度等,以便PLC控制器根据实际情况调整洗衣过程。

电机、进水阀、排水阀等部件则负责实现洗衣过程中的各种动作。

五、软件设计全自动洗衣机控制系统的软件设计主要包括PLC控制器的程序设计。

程序设计采用梯形图或指令表的形式,根据洗衣过程中的各个阶段(如进水阶段、洗涤阶段、漂洗阶段、脱水阶段等),编写相应的控制逻辑。

程序设计应考虑到各种情况,如异常情况的处理、洗涤模式的切换等,以保证洗衣过程的顺利进行。

六、实际运行效果全自动洗衣机控制系统的PLC设计在实际运行中表现出良好的性能。

首先,系统操作简便,用户只需通过操作面板或远程控制即可实现洗衣过程的自动化。

洗衣机控制器课程设计

洗衣机控制器课程设计

洗衣机控制器 课程设计一、课程目标知识目标:1. 让学生掌握洗衣机控制器的基本原理,理解其电路构成及功能。

2. 使学生了解洗衣机控制器的编程方法,学会编写简单的控制程序。

3. 帮助学生了解洗衣机控制器与其他家电的互联互通,拓展智能家电的知识领域。

技能目标:1. 培养学生运用控制器进行家电控制的能力,提高实际操作技能。

2. 培养学生独立思考和解决问题的能力,通过编写程序实现洗衣机的智能化控制。

3. 提高学生的团队协作能力,通过小组合作完成控制器的设计与调试。

情感态度价值观目标:1. 激发学生对家电控制技术的兴趣,培养其探索精神和创新意识。

2. 培养学生关注智能家居领域的发展,增强社会责任感和时代使命感。

3. 引导学生树立正确的消费观念,提倡环保、节能的生活方式。

课程性质:本课程为实用技术类课程,以实践操作为主,理论讲解为辅。

学生特点:本年级学生具有较强的求知欲,动手能力较强,但对洗衣机控制器相关知识了解较少。

教学要求:结合学生特点,注重理论与实践相结合,强化实践操作环节,提高学生的动手能力和创新能力。

通过课程学习,使学生能够掌握洗衣机控制器的基本原理和操作方法,培养其编程思维和团队协作能力。

同时,关注学生的情感态度价值观的培养,使其在学习过程中形成正确的价值观和消费观念。

将课程目标分解为具体的学习成果,便于后续教学设计和评估。

二、教学内容1. 洗衣机控制器原理:讲解洗衣机控制器的电路构成、工作原理及各部分功能,结合课本第三章第一节内容。

2. 编程方法:介绍洗衣机控制器的编程语言和编程方法,以课本第四章为基础,通过案例教学使学生掌握基本编程技巧。

3. 控制器操作与调试:讲解控制器的基本操作方法,组织学生进行实践操作,学会调试控制器程序,参考课本第五章内容。

4. 智能家电互联互通:分析洗衣机控制器与其他家电的互联互通原理,结合课本第六章,拓展学生智能家电的知识领域。

5. 实践项目:分组进行洗衣机控制器的编程与调试,完成一个简单的智能家居控制系统设计,涵盖课本第三至六章的主要内容。

洗衣机控制器课程设计

洗衣机控制器课程设计

以下是一个洗衣机控制器课程设计的示例:课程名称:洗衣机控制器设计课程目标:1.了解洗衣机的基本原理和工作原理。

2.掌握洗衣机控制器的组成和功能。

3.学习使用嵌入式系统开发工具进行洗衣机控制器的设计和编程。

4.实践设计一个简单的洗衣机控制器原型。

课程大纲:1.洗衣机基本原理•介绍洗衣机的结构和工作原理。

•讲解洗衣机的动力系统、进水系统、排水系统等基本组成部分。

2.洗衣机控制器概述•解释洗衣机控制器的作用和功能。

•介绍洗衣机控制器的硬件和软件组成。

3.嵌入式系统开发工具介绍•介绍常用的嵌入式系统开发工具,如Arduino、Raspberry Pi等。

•讲解开发工具的基本原理和使用方法。

4.洗衣机控制器设计与编程•设计一个简单的洗衣机控制器电路。

•使用嵌入式系统开发工具进行控制器的编程。

•讲解控制器的各个功能模块的实现方法,如电机控制、传感器接口、显示器等。

5.实践项目:洗衣机控制器原型•学员分组进行实践项目。

•设计和搭建一个简单的洗衣机控制器原型。

•编写控制程序,实现基本的洗衣操作功能。

6.测试与改进•测试洗衣机控制器原型的功能和性能。

•分析测试结果,进行改进和优化。

7.总结与展望•总结课程学习成果。

•展望洗衣机控制器技术的发展方向和应用前景。

教学方法:•授课讲解:通过演示和讲解来介绍洗衣机控制器的基本概念和原理。

•实践操作:学员通过实践项目,亲自设计和搭建洗衣机控制器原型,并进行编程和测试。

•小组讨论:鼓励学员在小组内进行讨论和合作,促进彼此学习和交流。

评估方式:•实践项目成果评估:评估学员设计和搭建的洗衣机控制器原型的功能和性能。

•知识考核:通过笔试或口头答辩等形式,考核学员对洗衣机控制器的基本原理和设计方法的理解。

这个课程设计旨在通过理论讲解和实践操作相结合的方式,帮助学员全面了解洗衣机控制器的设计过程和技术要点。

通过实践项目的实施,学员将能够应用所学知识设计出简单的洗衣机控制器原型,并加深对嵌入式系统和控制器设计的理解和掌握。

微机原理课程设计报告——洗衣机控制系统

微机原理课程设计报告——洗衣机控制系统

微机原理与接口技术课程设计设计题目:洗衣机控制系统设计设计者:专业 : 电气工程及其自动化班级 :学号 :一课程设计的意义1.1 洗衣机的发展状况概述1.洗衣机的发展史洗衣服是每个家庭都无法逃避的家庭劳动。

洗衣机的出现给人们的生活带来了相当大的方便,它的普及大大降低了大多数家庭的体力劳作。

1858年,美国人汉密尔顿·史密斯制成了第一台洗衣机。

1874年,美国人比尔·布莱克斯发明了第一台人工搅动式洗衣机,使得“手洗时代”受到了挑战。

1910年美国人研制出了第一台电动式洗衣机。

1922年美国玛塔依格公司生产出了第一台搅拌式洗衣机。

1932年美德克斯航空公司研制成功了第一台前装式滚筒式洗衣机,这台机衣机能够使洗涤、漂洗、脱水三个步骤在同一个滚中操作。

与此同时,世界各地也相继出现了洗衣机。

洗衣机工业快速迅猛地发展起来。

1937年第一台自动洗衣机问世。

1955年日本研制出波轮式洗衣机。

60年代日本出现了半自动洗衣机。

70年代生产出了波轮式套桶全自动洗衣机。

70年代后期以电脑控制的全自动洗衣机在日本问世。

80年代“模糊控制”开始应用于洗衣机中,使得洗衣机能够通过模糊控制使洗衣机操作更加简单,实现智能化。

近半个多世纪里,在工业发达国家,全自动洗衣机技术得到广范的应用,其年总产量及社会普及率均以达到相当高的水平。

2.我国洗衣机的发展现状洗衣机在中国起步较晚,1978年才开始正式生产家用洗衣机。

随着改革开放的不断深入,经济的持续增长,人民生活水平的普遍提高,人们对于洗衣机的认识也在不断发展,进入80年代后,中国洗衣机行业一直保持着旺盛的发展形势。

目前,洗衣机在我国城市甚至广大农村已得到大范围的普及。

中国洗衣机市场正处于快速更新换代阶段,市场潜力巨大,随着家用电器的自动化、智能化发展,人们对于洗衣机的期望也越来越高。

1983年,中国洗衣机产量由1978年的400台增至365万台。

此后全国各处都大规模的引进国外先进洗衣机技术。

洗衣机控制系统报告

洗衣机控制系统报告

钦州学院单片机课程设计报告洗衣机控制系统院系物理与电子工程学院专业应用电子技术学生班级 2011级1班姓名学号指导教师单位钦州学院指导教师姓名指导教师职称2013年11月洗衣机控制系统设计摘要目前,随着物联网的兴起,智能家电又有了更高的需求,洗衣机的全自动化、多功能化、智能化是其发展方向,也是未来发展的一大趋势。

本文介绍了基于89C51单片机的全自动洗衣机的设计。

整个系统是以单片机及其外部设备组成,主要通过单片机IO口控制2位数码管显示时间,并通过按钮控制洗衣机的进水,洗涤,漂洗、排水、脱水功能。

关键词:2位数码管;PWM调制;L298电机驱动;目录第1章绪论 (1)1.1 课题开发背景 (1)1.2 设计的目的和意义 (1)第2章设计方案 (2)2.1设计任务 (2)2.2洗衣机的设计方案 (2)2.2.1 按键 (2)2.2.2 洗衣程序 (3)第3章硬件设计 (3)3.1 控制电路的系统组成 (3)3.2 硬件设计 (4)3.2.1 仿真图 (4)3.2.2 实物图 (4)3.2.3L298驱动电路及原理 (5)3.2.4状态信号灯指示电路 (6)第4章软件设计 (6)4.1 主程序设计 (6)第5章展望与总结 (7)附录 (9)附录A 程序 (9)第1章概述1.1 课题开发背景随着人民生活水平的提高,越来越多的人需要使用洗衣机。

现在洗衣机越来越高度自动化,只要衣服放入洗衣机,简单的按两个键,就会自动注水,一些先进的电脑控制洗衣机,还能自动的感觉衣物的重量,自动的添加适合的水量和洗涤剂,自动的设置洗涤的时间和洗涤的力度,洗涤完以后自动的漂洗甩干,更有些滚筒洗衣机还会将衣物烘干,整个洗衣的过程完成指示灯亮,用户可以在洗衣的过程做其它的事,节省了不少的时间。

总之,每一项技术的进步极大地推动了洗衣过程自动化程度的提高。

1.2 设计的目的和意义目前中国洗衣机市场正进入更新换代期,市场潜力巨大,人们对于洗衣机的要求也越来越高,目前的洗衣机主要有强弱洗涤功能、进排水系统故障自动诊断功能、暂停等几大功能,在许多方面还不能达到人们的需求。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

微机原理与接口技术课程设计设计题目:洗衣机控制系统设计设计者:专业: 电气工程及其自动化班级:学号:一课程设计的意义1.1 洗衣机的发展状况概述1.洗衣机的发展史洗衣服是每个家庭都无法逃避的家庭劳动。

洗衣机的出现给人们的生活带来了相当大的方便,它的普及大大降低了大多数家庭的体力劳作。

1858年,美国人汉密尔顿·史密斯制成了第一台洗衣机。

1874年,美国人比尔·布莱克斯发明了第一台人工搅动式洗衣机,使得“手洗时代”受到了挑战。

1910年美国人研制出了第一台电动式洗衣机。

1922年美国玛塔依格公司生产出了第一台搅拌式洗衣机。

1932年美德克斯航空公司研制成功了第一台前装式滚筒式洗衣机,这台机衣机能够使洗涤、漂洗、脱水三个步骤在同一个滚中操作。

与此同时,世界各地也相继出现了洗衣机。

洗衣机工业快速迅猛地发展起来。

1937年第一台自动洗衣机问世。

1955年日本研制出波轮式洗衣机。

60年代日本出现了半自动洗衣机。

70年代生产出了波轮式套桶全自动洗衣机。

70年代后期以电脑控制的全自动洗衣机在日本问世。

80年代“模糊控制”开始应用于洗衣机中,使得洗衣机能够通过模糊控制使洗衣机操作更加简单,实现智能化。

近半个多世纪里,在工业发达国家,全自动洗衣机技术得到广范的应用,其年总产量及社会普及率均以达到相当高的水平。

2.我国洗衣机的发展现状洗衣机在中国起步较晚,1978年才开始正式生产家用洗衣机。

随着改革开放的不断深入,经济的持续增长,人民生活水平的普遍提高,人们对于洗衣机的认识也在不断发展,进入80年代后,中国洗衣机行业一直保持着旺盛的发展形势。

目前,洗衣机在我国城市甚至广大农村已得到大范围的普及。

中国洗衣机市场正处于快速更新换代阶段,市场潜力巨大,随着家用电器的自动化、智能化发展,人们对于洗衣机的期望也越来越高。

1983年,中国洗衣机产量由1978年的400台增至365万台。

此后全国各处都大规模的引进国外先进洗衣机技术。

中国的洗衣机发展突飞猛进,先进技术的引进、吸收和创新,极大地促进了中国洗衣机的生产能力和产业质量。

经过三十年的发展,我国的洗衣机年产量已位于世界第一,将近为世界总年产量的四分之一。

1.2课程设计的意义课程设计进一步锻炼同学们在微机原理应用方面的实际工作能力。

计算机科学在应用上得到飞速发展,因此,学习这方面的知识必须紧密联系实际:掌握这方面的知识更要强调解决实际问题的能力。

学会面对一个实际问题,如何去自己收集资料,如何自己去学习新的知识,如何自己去制定解决问题的方案并通过实践不断地去分析和解决前进道路上的问题。

《微机原理与接口技术》课程是我们电气工程及其自动化专业本科生必修的一门技术基础课程。

通过该课程的学习使学生对微机系统有一个全面的了解、掌握常规芯片的使用方法、掌握简单微型计算机应用系统软硬的设计方法。

二洗衣机控制系统的设计2.1 设计内容系统设计并建立一个由微机控制的洗衣机控制系统,并完成:1.给水和排水的自动控制。

2.用户定时时间的设定。

3.电机的正反转。

4.各种定时和故障报警电路。

5.定时开、关机的控制。

6.三分钟延时启动的保护。

7.设计相应的A/D、D/A、键盘、显示接口和传感器测量水位电路,可在线键盘参数设置、定时检测、显示、报警,其中控制输出部分采用模拟量或开关量进行控制。

8,写出相应工作原理,编写程序及程序流程图。

希望实现的功能:智能检测待洗衣物的智能检测是智能洗衣机能够实现智能的关键技术之一。

智能检测利用了模糊控制原理,根据各类传感器提供的洗涤物状态和洗衣机运行参数进行模糊推理。

通过各类传感器对布量、布质、温度、浊度的检测来确定洗涤过程中的洗涤水位,洗涤时间,漂洗次数,排水时间,脱水时间等等。

三总体设计方案3.1 洗衣机系统原理与设计思想图1洗衣机示意图洗衣机的系统(1).涤脱水系统它主要有盛水桶,洗涤桶和波轮组成。

盛水桶又称为外桶,主要用来盛放洗涤液。

盛水桶固定在钢制底板上,通过4根吊杆悬挂在洗衣机箱体上。

电动机,离合器,排水阀等部件都装在桶底下面。

洗涤桶又称为脱水桶或者离心桶,也称为内桶,它的主要功能是用来盛放衣物,在洗涤或漂洗时配合波轮完成洗涤或漂洗功能,在脱水时便成为离心式的脱水桶。

波轮是全自动洗衣机中对衣物产生机械作用的主要部件。

按波轮的形状来分,基本上有小波轮(直径在160mm左右)的涡卷式水流和大波轮(直径在300mm左右)新水流两类。

(2).进水系统波轮式全自动洗衣机的进排水系统都采用了电磁阀控制。

为了对桶内的水位进行检测和控制,洗衣机上都安装有水位控制器(水位开关)。

波轮式全自动套桶洗衣机使用最多的水位开关是空气压力式开关,主要有气压传感器装置,控制装置及电触点开关3部分组成,用来监视水位的高低。

此外电磁阀分进水和排水电磁阀,进水电磁阀是洗衣机上的自动进水开关,它受水位开关动断触点的控制。

而排水电磁阀是全自动洗衣机上的自动排水装置,同时还起改变离合器工作状态。

进水、排水电磁阀是采用电流流过线圈形成磁场的原理,洗衣机电磁阀在进,排水时使用,220V交流电压与电磁阀线圈接通,形成磁场,电磁线圈吸合。

自动打开香蕉阀门,洗衣机里的水就顺着管道流出去了。

断电后,电磁阀线圈失去电流,磁场消失,电磁铁松开,橡胶阀门自动关闭,洗衣机里的水就流不出去了。

(3).动机及传动系统波轮式全自动套桶洗衣机的电动机及传动系统主要由电动机和离合器组成,离合器又有普通离合器和减速离合器两种。

其中普通离合器用在采用小波轮的套桶洗衣机上,这种洗衣机在洗涤或者漂洗时波轮的转速和脱水时离心桶的转速相同,目前各种大波轮新水流套桶洗衣机普遍采用减速离合器,它在洗涤,漂洗时波轮的转速较慢,而脱水时离心桶的转速较快。

电动机同时作为洗涤和脱水时的动力源,普遍采用主,副绕组完全对称的电容式电动机。

洗衣机的基本工作原理洗衣机的洗涤原理是由模拟人工洗涤衣物发展而来的,即通过翻滚、摩擦、水的冲刷等机械作用以及洗涤剂的表面活化作用,将附着在衣物上的污垢除掉,以达到洗净衣物的目的。

现今,大多数的全自动洗衣机都使用以单片机为核心的控制电路来控制电动机、数码显示管、进水阀、排水阀及蜂鸣器的电压输出,使洗衣机根据程序运转。

而在设计全自动洗衣机的控制系统时,要把握好洗涤、漂洗和脱水的时间:(1).洗涤时间有人认为,洗涤时间越长,衣物就洗得越干净。

其实不然,如果洗涤时间超过一定的限度,衣物不但不会随洗涤时间的延长而提高洗净度,反而会加速衣物的磨损,还会造成能源的浪费。

实验证明,洗衣机(波轮式洗衣机)的最佳洗涤时间为5~10分钟,最长也不应超过15分钟。

(2).漂洗时间在漂洗刚开始的3分钟时间内,残留在衣物上的表面活性剂脱落最快。

此后,活性剂脱落趋缓,漂洗10分钟后活性剂几乎不再脱落。

一般采用贮水方式漂洗,每次3分钟,漂洗2~3次就可以了。

(3).脱水时间电动机高速地转动洗涤桶,水份就会由于离心力而脱离衣物被甩出去。

脱水时间一般为2~3分钟,时间太短会造成脱水不够彻底,太长又可能会损坏衣物。

总体设计思想首先构思系统的总体结构,根据设计要求确定好系统大致的硬件组成及其结构,其次根据系统的各个功能把软件分为几个不同的模块。

依次实现各个模块的功能,最后把各个模块组合起来已完成整个系统的功能。

3.2洗衣过程流程图3.3设计流程图弄清系统的需求根据系统的需求设计出相应的硬件电路在确定系统硬件结构的基础上,把软件划分为各个模块调试各个模块,并组成一个完整的系统四硬件设计4.1硬件设计概要用Intel的8086作为控制芯片,配合其他接口电路及配套的芯片组成洗衣机的控制电路。

主要用到8255串行通行芯片,74LS137三线八线译码器发出片选信号,AD0809以及DA0832模数、数模转换芯片。

用一个电位计和AD0809模拟水量信号,DA0832和LM324运放最和来控制直流电机的正反转和停止。

此外还用到了4*4扫描键盘作为输入设备,两个共阴数码管作为显示设备。

详情见各个芯片的介绍。

4.2所用到的芯片及其各自功能说明4.2.1芯片列表8086,8284,74LS138,8255,AD0809,DA0832,74LS02,LM324 4.2.28086的功能简介Intel 8086是一个由Intel于1978年所设计的16位微处理器芯片,是x86架构的鼻祖。

不久,Intel 8088就推出了,拥有一个外部的8位数据总线,允许便宜的芯片用途。

它是以8080和8085(它与8080有组合语言上的原始码兼容性)的设计为基础,拥有类似的寄存器组,但是数据总线扩充为16位。

总线界面单元(Bus Interface Unit)透过6字节预存(prefecth) 的队列(queue)喂指令给执行单元(Execution Unit),所以取指令和执行是同步的,8086 CPU有20条地址线,可直接寻址1MB的存储空间,每一个存储单元可以存放一个字节(8位)二进制信息。

为了便于对存储器进行存取操作,每一个存储单元都有一个惟一的地址与之对应,其地址范围用十进制表示为0~1048575,用十六进制表示为00000H~FFFFFH。

Intel 8086拥有四个16位的通用寄存器,也能够当作八个8位寄存器来存取,以及四个16位索引寄存器(包含了堆栈指标)。

资料寄存器通常由指令隐含地使用,针对暂存值需要复杂的寄存器配置。

它提供64K 8 位元的输出输入(或32K 16 位元),以及固定的向量中断。

大部分的指令只能够存取一个内存位址,所以其中一个操作数必须是一个寄存器。

运算结果会储存在操作数中的一个。

Intel 8086有四个内存区段(segment) 寄存器,可以从索引寄存器来设定。

区段寄存器可以让CPU 利用特殊的方式存取1 MB内存。

8086 把段地址左移4 位然后把它加上偏移地址。

大部分的人都认为这是一个很不好的设计,因为这样的结果是会让各分段有重叠。

尽管这样对组合语言而言大部分被接受(也甚至有用),可以完全地控制分段,,使在编程中使用指针(如C 编程语言) 变得困难。

它导致指针的高效率表示变得困难,且有可能产生两个指向同一个地方的指针拥有不同的地址。

更坏的是,这种方式产生要让内存扩充到大于 1 MB 的困难。

而8086 的寻址方式改变让内存扩充较有效率。

在这个系统中,8086作为整个系统的主控芯片,用来控制协调整个系统的工作。

4.2.3 8284的功能简介向8086CPU提供外部的基准时钟信号,并把时钟信号进行功率放大。

4.2.374LS138的功能简介74LS138 为3 线-8 线译码器,共有54/74S138和54/74LS138 两种线路结构型式,其工作原理如下:当一个选通端(E3)为高电平,另两个选通端(E1)和/(E2))为低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低电译出。

相关文档
最新文档