脉冲宽度测量仪xxx

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA技术课程设计

题目:脉冲宽度测量仪

专业班级:电子信息工程

姓名: xxxxxxxxx

学号: 0xxxxxxxxxxxx8 指导老师: xxxxx

2012年5月20日

EDA程序课程设计任务书

一、主要任务:

采用EDA技术,设计一个能测量脉冲信号宽度的系统。系统图如下:

脉冲边沿检测时钟分频计数器译码显示

P_IN

CLK CLK1

CLK2

二、基本要求:

(1)脉冲信号宽度的测量精度为±1ms。

(2)脉冲信号宽度的测量范围为0~10s。

(3)调试过程中可以用按键模拟脉冲信号。

(4)测量值用5位数码管显示(可以采用静态显示)。

(5)输入信号为标准TTL电平。

(6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。

(7)必须先进行前仿真,并打印出仿真波形。

(8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。

目录

引言 (4)

第一章总体设计思想 (4)

1.基本原理 (4)

2.设计框图 (4)

第二章设计步骤和调试过程 (5)

1、总体设计电路 (5)

(1)脉冲检测模块 (5)

(2)计数模块 (5)

(3)译码显示模块 (5)

第三章模块设计和相应模块程序 (5)

1、检测程序模块 (5)

2、计数程序模块 (6)

第四章设计电路图 (9)

第五章实验调试结果 (10)

第六章结论及心得体会 (11)

参考资料 (11)

引言:

随着我国脉冲测量仪器市场的迅猛发展,特别是十二五时期,转变经济增长方式这一主基调的确定,与之相关的核心生产技术应用与研发必将成为业内企业关注的焦点。技术工艺的优劣直接决定企业的市场竞争力。了解国内外脉冲测量仪器生产核心技术的研发动向、工艺设备、技术应用对于企业提升产品技术规格,提高市场竞争力十分关键。

本报告通过详细的介绍脉冲宽度测量仪的设计方法,为客户提供脉冲测量仪器行业主要技术应用现状、技术研发、工艺设备配套、高端技术应用等多方面的信息,对于企业了解各类脉冲测量仪器产品生产技术及其发展状况十分有益

第一章总体设计思想

1.基本原理

根据设计要求,系统的输入信号有:系统时钟信号CLK,系统复位信号CLR,脉冲输入信号P_ IN。当计数输出端检测到P_ IN端有脉冲输入时检测模块就会输出1,否则为0,输给计数模块的EN端,当各位累计到9时,会向十位进位以此类推到万位.最后由数码管显示脉冲的宽度(数码管的读数).

2.设计框图

系统组成方框图如下所示,它由外部输入模块、检测模块和显示模块三部分组成。检测模块是整个系统的核心,它由计数模块、控制模块、计量模块和显示模块构成。

图1.1 系统框图

第二章 设计步骤和调试过程

1、总体设计电路

(1)脉冲检测模块

当有检测到P_IN 端有脉冲输入(P_IN=1)时,EN_OUT=1输送到计数器的EN 端。 (2)计数模块

计数模块是对检测到的脉冲进行计数 ,计算出脉冲的宽度。 (3)译码显示模块

对输入的脉冲宽度进行显示出来。

第三章 模块设计和相应模块程序

1、检测程序模块

--bas of measure; library ieee;

时钟信号 计数信号

输入脉冲

清零复位

计数器

检 测 器

检测

计宽

计数

use ieee.std_logic_1164.all;

entity measure is

port(

P_IN : in std_logic;

EN_OUT: out std_logic);

end measure;

architecture behave of measure is

begin

process (P_IN)

begin

if(P_IN='1') then EN_OUT<='1'; --实现检测,若有脉冲就输出为1 else EN_OUT<='0'; --,否则为0

end if;

end process;

end behave;

2、计数程序模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity count is

port(

EN:in std_logic;

CLK:in std_logic;

CLR:in std_logic;

CQ:out std_logic;

Qout: buffer:std_logic_vector(3 downto 0) );

end count;

architecture art of count is

begin

process(CLK,CLR,EN,QOUT)

Begin

if CLR='1' then Qout<="0000";

elsif CLK'event and CLK='1' then

if EN='1' then

Qout="1001" then Qout<="0000";

else Qout<=Qout+'1';

end if;

end if ;

end if ;

if Qout="1001" then

CQ<='1';

else

相关文档
最新文档