(完整word版)验证74138译码器功能

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA设计(二)

VHDL语言实验报告

实验名称:验证74138译码器功能

姓名:

学号:

班级:

实验时间:

一、实验目的

1、熟悉quartus软件的功能。

2、验证3线-8线74138译码器的功能。

二、 实验原理

74138有3个输入端,3个使能输入端口,3个输入端口分别是 A 、B 、C ,其中C 是高位,A 是低位。3个使能输入端口分别是G1, G2AN,G2BN,只有当G2AN=G2BN=0,G1=1时,译码器才能正常工作,否 则译码器处于禁止状态,所有输出端为高电平。当译码器正常工作时, 74138译码器的逻辑功能表如下图所示:

三、 实验内容

1. 打开quartus ii 软件,新建工程,然后为工程和顶层文件命名,并选择工作库。

完成后,根据所使用的设备选择合适的器件,而此次试验所使用的器件为cyclone 中的EP1C3T144C8。

2. 工程建完后,新建文件,new 对话框中选择device design files 标签下的block

diagram/schematic file,在此界面下画出原理图,然后保存文件。

G 1 G 2* C B A Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7

000000001

00001111

00110011

01010101

0111111111

1011111111

1101111111

1110111111

1111011111

1111101111

1111110111

1111111011

111111110

G 2*=G 2A +G 2B

3.对原理图进行编译,当编译状态栏显示为100%时,进行下一步。

4.新建波形文件,在new对话框中选择others files标签下的vector waveform file,

在此界面下,先导入所有节点,并且为各个输入端列出各种可能情况,设置测试的

总的时间周期,然后进行时序波形仿真,得到如下波形图:

5.分配管脚:在assignments的下拉菜单中,选择assignment editor,在此对话框中,

点击pin按钮,并在location中选择合适的管脚,然后对波形进行编译。

6.下载文件:在tool下拉菜单中,选择programmer,在此对话框中选择

program/configure,并单击hardware setup对硬件进行设置。硬件设置

好后,单击start按钮下载文件,接着在实验箱上进行后续操作。

四、小结与体会

相关文档
最新文档