常用40、45、74系列标准数字电路简单介绍

常用40、45、74系列标准数字电路简单介绍
常用40、45、74系列标准数字电路简单介绍

常用40、45、74系列标准数字电路简单介绍

常用4000系列标准数字电路的中文名称

资料

型号器件名称厂牌备注

CD4000 双3输入端或非门+单非门TI CD4001 四2输入端或非门

HIT/NSC/TI/GOL

CD4002 双4输入端或非门NSC

CD4006 18位串入/串出移位寄存器NSC CD4007 双互补对加反相器NSC

CD4008 4位超前进位全加器NSC

CD4009 六反相缓冲/变换器NSC

CD4010 六同相缓冲/变换器NSC

CD4011 四2输入端与非门HIT/TI

CD4012 双4输入端与非门NSC

CD4013 双主-从D型触发器

FSC/NSC/TOS

CD4014 8位串入/并入-串出移位寄存器NSC

CD4015 双4位串入/并出移位寄存器TI CD4016 四传输门FSC/TI

CD4017 十进制计数/分配器

FSC/TI/MOT

CD4018 可预制1/N计数器NSC/MOT CD4019 四与或选择器PHI

CD4020 14级串行二进制计数/分频器FSC

CD4021 08位串入/并入-串出移位寄存器PHI/NSC

CD4022 八进制计数/分配器NSC/MOT CD4023 三3输入端与非门

NSC/MOT/TI

CD4024 7级二进制串行计数/分频器NSC/MOT/TI

CD4025 三3输入端或非门

NSC/MOT/TI

CD4026 十进制计数/7段译码器

NSC/MOT/TI

CD4027 双J-K触发器NSC/MOT/TI CD4028 BCD码十进制译码器

NSC/MOT/TI

CD4029 可预置可逆计数器

NSC/MOT/TI

CD4030 四异或门NSC/MOT/TI/GOL CD4031 64位串入/串出移位存储器

NSC/MOT/TI

CD4032 三串行加法器NSC/TI

CD4033 十进制计数/7段译码器NSC/TI CD4034 8位通用总线寄存器

NSC/MOT/TI

CD4035 4位并入/串入-并出/串出移位寄存NSC/MOT/TI

CD4038 三串行加法器NSC/TI

CD4040 12级二进制串行计数/分频器NSC/MOT/TI

CD4041 四同相/反相缓冲器

NSC/MOT/TI

CD4042 四锁存D型触发器

NSC/MOT/TI

CD4043 4三态R-S锁存触发器("1"触发) NSC/MOT/TI

CD4044 四三态R-S锁存触发器("0"触发) NSC/MOT/TI

CD4046 锁相环NSC/MOT/TI/PHI

CD4047 无稳态/单稳态多谐振荡器

NSC/MOT/TI

CD4048 4输入端可扩展多功能门

NSC/HIT/TI

CD4049 六反相缓冲/变换器

NSC/HIT/TI

CD4050 六同相缓冲/变换器

NSC/MOT/TI

CD4051 八选一模拟开关NSC/MOT/TI CD4052 双4选1模拟开关NSC/MOT/TI CD4053 三组二路模拟开关

NSC/MOT/TI

CD4054 液晶显示驱动器NSC/HIT/TI CD4055 BCD-7段译码/液晶驱动器

NSC/HIT/TI

CD4056 液晶显示驱动器NSC/HIT/TI CD4059 “N”分频计数器NSC/TI

CD4060 14级二进制串行计数/分频器NSC/TI/MOT

CD4063 四位数字比较器NSC/HIT/TI CD4066 四传输门NSC/TI/MOT

CD4067 16选1模拟开关NSC/TI

CD4068 八输入端与非门/与门

NSC/HIT/TI 74系列芯片功能大全

7400 TTL 2输入端四与非门7401 TTL 集电极开路2输入端四与非门

7402 TTL 2输入端四或非门7403 TTL 集电极开路2输入端四与非门

7404 TTL 六反相器

7405 TTL 集电极开路六反相器7406 TTL 集电极开路六反相高压驱动器

7407 TTL 集电极开路六正相高压驱动器

7408 TTL 2输入端四与门

7409 TTL 集电极开路2输入端四与门

7410 TTL 3输入端3与非门7411 TTL 3输入端3与门

7412 TTL 开路输出3输入端三与非门

7413 TTL 4输入端双与非施密特触发器

7414 TTL 六反相施密特触发器7415 TTL 开路输出3输入端三与门

7416 TTL 开路输出六反相缓冲/驱动器

7417 TTL 开路输出六同相缓冲/驱动器

7420 TTL 4输入端双与非门7421 TTL 4输入端双与门

7422 TTL 开路输出4输入端双与非门

7427 TTL 3输入端三或非门7428 TTL 2输入端四或非门缓冲器

7430 TTL 8输入端与非门

7432 TTL 2输入端四或门

7433 TTL 开路输出2输入端四或非缓冲器

7437 TTL 开路输出2输入端四与非缓冲器

7437 TTL 开路输出2输入端四与非缓冲器

7438 TTL 开路输出2输入端四与非缓冲器

7438 TTL 开路输出2输入端四与非缓冲器

7439 TTL 开路输出2输入端四与非缓冲器

7439 TTL 开路输出2输入端四与非缓冲器

7440 TTL 4输入端双与非缓冲器7440 TTL 4输入端双与非缓冲器7442 TTL BCD—十进制代码转换器

7442 TTL BCD—十进制代码转换器

7445 TTL BCD—十进制代码转换/驱动器

7446 TTL BCD—7段低有效译码/驱动器

7447 TTL BCD—7段高有效译码/驱动器

7448 TTL BCD—7段译码器/内部上拉输出驱动

7450 TTL 2-3/2-2输入端双与或非门

7451 TTL 2-3/2-2输入端双与或非门

7454 TTL 四路输入与或非门7455 TTL 4输入端二路输入与或非门

7473 TTL 带清除负触发双J-K 触发器

7474 TTL 带置位复位正触发双D触发器

7476 TTL 带预置清除双J-K触发器

7483 TTL 四位二进制快速进位全加器

7485 TTL 四位数字比较器7486 TTL 2输入端四异或门7490 TTL 可二/五分频十进制计数器

7493 TTL 可二/八分频二进制计数器

常用74系列标准数字电路的

中文名称资料

器件代号器件名称74 74LS 74HC

00 四2输入端与非门√ √ √

01 四2输入端与非门(OC) √ √

02 四2输入端或非门√ √ √

03 四2输入端与非门(OC) √ √

04 六反相器√ √ √

05 六反相器(OC) √ √

06 六高压输出反相器(OC,30V) √ √

07 六高压输出缓冲,驱动器(OC,30V) √ √ √

08 四2输入端与门√ √ √

09 四2输入端与门(OC) √ √ √

10 三3输入端与非门√ √ √

11 三3输入端与门√ √

12 三3输入端与非门(OC) √ √ √

13 双4输入端与非门√ √ √

14 六反相器√ √ √

15 三3输入端与门(OC) √ √

16 六高压输出反相器(OC,15V) √

17 六高压输出缓冲,驱动器(OC,15V) √

20 双4输入端与非门√ √ √

21 双4输入端与门√ √ √

22 双4输入端与非门(OC) √ √25 双4输入端或非门(有选通端) √ √ √

26 四2输入端高压输出与非缓冲器√ √ √

27 三3输入端或非门√ √ √

28 四2输入端或非缓冲器√ √ √

30 8输入端与非门√ √ √

32 四2输入端或门√ √ √

33 四2输入端或非缓冲器(OC) √ √

37 四2输入端与非缓冲器√ √

38 四2输入端与非缓冲器(OC) √ √

40 双4输入端与非缓冲器√ √ √

42 4线-10线译码器(BCD输入) √ √

43 4线-10线译码器(余3码输入) √

44 4线-10线译码器(余3葛莱码输入) √

48 4线-7段译码器√

49 4线-7段译码器√

50 双2路2-2输入与或非门√ √ √

51 2路3-3输入,2路2-2输入与或非门√ √ √

52 4路2-3-2-2输入与或门√

53 4路2-2-2-2输入与或非门√

54 4路2-3-3-2输入与或非门√ √

55 2路4-4输入与或非门√

60 双4输入与扩展器√ √

61 三3输入与扩展器√

62 4路2-3-3-2输入与或扩展器√

64 4路4-2-3-2输入与或非门√

65 4路4-2-3-2输入与或非门(OC) √

70 与门输入J-K触发器√

71 与或门输入J-K触发器√

72 与门输入J-K触发器√

74 双上升沿D型触发器√ √78 双D型触发器√ √

85 四位数值比较器√

86 四2输入端异或门√ √ √

87 4位二进制原码/反码√

95 4位移位寄存器√

101 与或门输入J-K触发器√102 与门输入J-K触发器√107 双主-从J-K触发器√108 双主-从J-K触发器√109 双主-从J-K触发器√110 与门输入J-K触发器√

CD4069 六反相器 NSC/HIT/TI CD4070 四异或门 NSC/HIT/TI CD4071 四2输入端或门 NSC/TI CD4072 双4输入端或门 NSC/TI CD4073 三3输入端与门 NSC/TI CD4075 三3输入端或门 NSC/TI CD4076 四D 寄存器

CD4077 四2输入端异或非门 HIT CD4078 8输入端或非门/或门 CD4081 四2输入端与门 NSC/HIT/TI CD4082 双4输入端与门 NSC/HIT/TI CD4085 双2路2输入端与或非门 CD4086 四2输入端可扩展与或非门 CD4089 二进制比例乘法器 CD4093 四2输入端施密特触发器 NSC/MOT/ST

CD4094 8位移位存储总线寄存器 NSC/TI/PHI

CD4095 3输入端J-K 触发器 CD4096 3输入端J-K 触发器 CD4097 双路八选一模拟开关

CD4098 双单稳态触发器 NSC/MOT/TI CD4099 8位可寻址锁存器 NSC/MOT/ST CD40100 32位左/右移位寄存器 7495 TTL 四位并行输入\输出移位寄存器

7497 TTL 6位同步二进制乘法器 74107 TTL 带清除主从双J-K 触发器 74109 TTL 带预置清除正触发双J-K 触发器 74112 TTL 带预置清除负触发双J-K 触发器

74121 TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器 74123 TTL 双可再触发单稳态多谐振荡器 74125 TTL 三态输出高有效四总线缓冲门

74126 TTL 三态输出低有效四总线缓冲门

74132 TTL 2输入端四与非施密特触发器

74133 TTL 13输入端与非门

74136 TTL 四异或门

74138 TTL 3-8线译码器/复工器 74139 TTL 双2-4线译码器/复工器 74145 TTL BCD —十进制译码/驱动器 74150 TTL 16选1数据选择/多路

开关

74151 TTL 8选1数据选择器 74153 TTL 双4选1数据选择器 74154 TTL 4线—16线译码器 74155 TTL 图腾柱输出译码器/

分配器

74156 TTL 开路输出译码器/分配器 74157 TTL 同相输出四2选1数

据选择器

74158 TTL 反相输出四2选1数据选择器

74160 TTL 可预置BCD 异步清除计数器

74161 TTL 可予制四位二进制异步清除计数器

74162 TTL 可预置BCD 同步清除计数器

74163 TTL 可予制四位二进制同步清除计数器

74164 TTL 八位串行入/并行输出移位寄存器 74165 TTL 八位并行入/串行输出移位寄存器 74166 TTL 八位并入/串出移位寄存器 74169 TTL 二进制四位加/减同步计数器 74170 TTL 开路输出4×4寄存器堆

74173 TTL 三态输出四位D 型寄存器

74174 TTL 带公共时钟和复位六D 触发器 74175 TTL 带公共时钟和复位四D 触发器 74180 TTL 9位奇数/偶数发生器/校验器

74181 TTL 算术逻辑单元/函数发生器

74185 TTL 二进制—BCD 代码转换器

74190 TTL BCD 同步加/减计数器 74191 TTL 二进制同步可逆计数

74192 TTL 可预置BCD 双时钟可逆计数器

74193 TTL 可预置四位二进制双时钟可逆计数器

74194 TTL 四位双向通用移位寄存器

74195 TTL 四位并行通道移位寄存器

74196 TTL 十进制/二-十进制可

预置计数锁存器

74197 TTL 二进制可预置锁存器/计数器

74221 TTL 双/单稳态多谐振荡器

74240 TTL 八反相三态缓冲器/线驱动器

111 双主-从J-K 触发器 √ √ 112 双下降沿J-K 触发器 √

113 双下降沿J-K 触发器 √ 114 双下降沿J-K 触发器 √ 116 双4位锁存器 √ 120 双脉冲同步驱动器 √ 121 单稳态触发器 √ √ √ 122 可重触发单稳态触发器 √ √ √

123 可重触发双稳态触发器 √ √ √ 125 四总线缓冲器 √ √ √ 126 四总线缓冲器 √ √ √ 128 四2输入端或非线驱动器 √ √ √

132 四2输入端与非门 √ √ √

CD40101 9位奇偶较验器 CD40102 8位可预置同步BCD 减法计数器 CD40103 8位可预置同步二进制减法计数器

CD40104 4位双向移位寄存器 CD40105 先入先出FI-FD 寄存器 CD40106 六施密特触发器 NSC\TI CD40107 双2输入端与非缓冲/驱动器 HAR\TI

CD40108 4字×4位多通道寄存器 CD40109 四低-高电平位移器 CD40110 十进制加/减,计数,锁存,译码驱动 ST

CD40147 10-4线编码器 NSC\MOT CD40160 可预置BCD 加计数器 NSC\MOT

CD40161 可预置4位二进制加计数器 NSC\MOT

CD40162 BCD 加法计数器 NSC\MOT CD40163 4位二进制同步计数器 NSC\MOT

CD40174 六锁存D 型触发器 NSC\TI\MOT

CD40175 四D 型触发器 NSC\TI\MOT CD40181 4位算术逻辑单元/函数发生器 CD40182 超前位发生器 CD40192 可预置BCD 加/减计数器(双时钟) NSC\TI CD40193 可预置4位二进制加/减计数器 NSC\TI CD40194 4位并入/串入-并出/串出移位寄存 NSC\MOT CD40195 4位并入/串入-并出/串出移位寄存 NSC\MOT

CD40208 4×4多端口寄存器 CD4501 4输入端双与门及2输入端或非门

CD4502 可选通三态输出六反相/缓冲器 CD4503 六同相三态缓冲器 CD4504 六电压转换器

CD4506 双二组2输入可扩展或非门 CD4508 双4位锁存D 型触发器 CD4510 可预置BCD 码加/减计数器 CD4511 BCD 锁存,7段译码,驱动器 CD4512 八路数据选择器

CD4513 BCD 锁存,7段译码,驱动器(消隐) CD4514 4位锁存,4线-16线译码器 CD4515 4位锁存,4线-16线译码器 CD4516 可预置4位二进制加/减计数器 CD4517 双64位静态移位寄存器 CD4518 双BCD 同步加计数器 CD4519 四位与或选择器

CD4520 双4位二进制同步加计数器 CD4521 24级分频器

CD4522 可预置BCD 同步1/N 计数器 CD4526 可预置4位二进制同步1/N 计数器

CD4527 BCD 比例乘法器 CD4528 双单稳态触发器 CD4529 双四路/单八路模拟开关 CD4530 双5输入端优势逻辑门 CD4531 12位奇偶校验器 CD4532 8位优先编码器

CD4536 可编程定时器

CD4538 精密双单稳

CD4539 双四路数据选择器

CD4541 可编程序振荡/计时器

CD4543 BCD七段锁存译码,驱动器

CD4544 BCD七段锁存译码,驱动器

CD4547 BCD七段译码/大电流驱动器CD4549 函数近似寄存器

CD4551 四2通道模拟开关

CD4553 三位BCD计数器

CD4555 双二进制四选一译码器/分离器CD4556 双二进制四选一译码器/分离器CD4558 BCD八段译码器

CD4560 "N"BCD加法器

CD4561 "9"求补器

CD4573 四可编程运算放大器

CD4574 四可编程电压比较器

CD4575 双可编程运放/比较器

CD4583 双施密特触发器

CD4584 六施密特触发器

CD4585 4位数值比较器

CD4599 8位可寻址锁存器74241 TTL 八同相三态缓冲器/线驱动器

74243 TTL 四同相三态总线收发器

74244 TTL 八同相三态缓冲器/线驱动器

74245 TTL 八同相三态总线收发器

74247 TTL BCD—7段15V输出译码/驱动器

74248 TTL BCD—7段译码/升压输出驱动器

74249 TTL BCD—7段译码/开路输出驱动器

74251 TTL 三态输出8选1数据选择器/复工器

74253 TTL 三态输出双4选1数据选择器/复工器

74256 TTL 双四位可寻址锁存器74257 TTL 三态原码四2选1数据选择器/复工器

74258 TTL 三态反码四2选1数据选择器/复工器

74259 TTL 八位可寻址锁存器/3-8线译码器

74260 TTL 5输入端双或非门74266 TTL 2输入端四异或非门74273 TTL 带公共时钟复位八D 触发器

74279 TTL 四图腾柱输出S-R锁存器

74283 TTL 4位二进制全加器74290 TTL 二/五分频十进制计数器

74293 TTL 二/八分频四位二进制计数器

74295 TTL 四位双向通用移位寄存器

74298 TTL 四2输入多路带存贮开关

74299 TTL 三态输出八位通用移位寄存器

74322 TTL 带符号扩展端八位移位寄存器

74323 TTL 三态输出八位双向移位/存贮寄存器

74347 TTL BCD—7段译码器/驱动器

74352 TTL 双4选1数据选择器/复工器

74352 TTL 双4选1数据选择器/复工器

74353 TTL 三态输出双4选1数据选择器/复工器

74353 TTL 三态输出双4选1数据选择器/复工器

74365 TTL 门使能输入三态输出六同相线驱动器

74365 TTL 门使能输入三态输出六同相线驱动器

74365 TTL 门使能输入三态输出六同相线驱动器

74366 TTL 门使能输入三态输出六反相线驱动器

74366 TTL 门使能输入三态输出六反相线驱动器

74367 TTL 4/2线使能输入三态六同相线驱动器

74367 TTL 4/2线使能输入三态六同相线驱动器

74368 TTL 4/2线使能输入三态六反相线驱动器

74368 TTL 4/2线使能输入三态六反相线驱动器

74373 TTL 三态同相八D锁存器74373 TTL 三态同相八D锁存器反相八D锁存器

74374 TTL 三态7426

74374 TTL 三态反相八D锁存器74375 TTL 4位双稳态锁存器74375 TTL 4位双稳态锁存器74377 TTL 单边输出公共使能八D锁存器

74377 TTL 单边输出公共使能八D锁存器

74378 TTL 单边输出公共使能六D锁存器

74378 TTL 单边输出公共使能六D锁存器

74379 TTL 双边输出公共使能四

D锁存器

74379 TTL 双边输出公共使能四D锁存器

74380 TTL 多功能八进制寄存器74380 TTL 多功能八进制寄存器74390 TTL 双十进制计数器74390 TTL 双十进制计数器74393 TTL 双四位二进制计数器74393 TTL 双四位二进制计数器74447 TTL BCD—7段译码器/驱动器

74450 TTL 16:1多路转接复用器多工器

74451 TTL 双8:1多路转接复用器多工器

74453 TTL 四4:1多路转接复用器多工器

74460 TTL 十位比较器

74461 TTL 八进制计数器74465 TTL 三态同相2与使能端八总线缓冲器

74466 TTL 三态反相2与使能八总线缓冲器

74467 TTL 三态同相2使能端八总线缓冲器

74468 TTL 三态反相2使能端八总线缓冲器

74469 TTL 八位双向计数器74490 TTL 双十进制计数器74491

74498 TTL 八进制移位寄存器74502 TTL 八位逐次逼近寄存器74503 TTL 八位逐次逼近寄存器74533 TTL 三态反相八D锁存器74534 TTL 三态反相八D锁存器74540 TTL 八位三态反相输出总线缓冲器

74563 TTL 八位三态反相输出触发器

74564 TTL 八位三态反相输出D 触发器

74573 TTL 八位三态输出触发器74574 TTL 八位三态输出D触发器

74645 TTL 三态输出八同相总线传送接收器

74670 TTL 三态输出4×4寄存器堆

74系列芯片数据手册大全

74系列芯片数据手册大全 74系列集成电路名称与功能常用74系列标准数字电路的中文名称资料7400 TTL四2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压缓冲驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发器 74109 TTL 带预置清除正触发双J-K触发器 7411 TTL 3输入端3与门 74112 TTL 带预置清除负触发双J-K触发器 7412 TTL 开路输出3输入端三与非门 74121 TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器 74123 TTL 双可再触发单稳态多谐振荡器 74125 TTL 三态输出高有效四总线缓冲门 74126 TTL 三态输出低有效四总线缓冲门 7413 TTL 4输入端双与非施密特触发器 74132 TTL 2输入端四与非施密特触发器 74133 TTL 13输入端与非门 74136 TTL 四异或门 74138 TTL 3-8线译码器/复工器 74139 TTL 双2-4线译码器/复工器 7414 TTL 六反相施密特触发器 74145 TTL BCD—十进制译码/驱动器 7415 TTL 开路输出3输入端三与门 74150 TTL 16选1数据选择/多路开关 74151 TTL 8选1数据选择器 74153 TTL 双4选1数据选择器 74154 TTL 4线—16线译码器 74155 TTL 图腾柱输出译码器/分配器 74156 TTL 开路输出译码器/分配器 74157 TTL 同相输出四2选1数据选择器 74158 TTL 反相输出四2选1数据选择器 7416 TTL 开路输出六反相缓冲/驱动器 74160 TTL 可预置BCD异步清除计数器 74161 TTL 可予制四位二进制异步清除计数器

数字电路芯片大全资料

芯片大全 -- 74系列芯片资料(还算可以)! 74系列芯片资料 反相器驱动器 LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245 与门与非门 LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门或非门与或非门 LS02 LS32 LS51 LS64 LS65 异或门比较器 LS86 译码器 LS138 LS139 寄存器 LS74 LS175 LS373 反相器: Vcc 6A 6Y 5A 5Y 4A 4Y 六非门74LS04 ┌┴─┴─┴─┴─┴─┴─┴┐六非门(OC门) 74LS05 _ │1413 12 11 10 9 8│六非门(OC高压输出) 74LS06 Y = A )│ │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ 1A 1Y 2A 2Y 3A 3Y GND 驱动器: Vcc 6A 6Y 5A 5Y 4A 4Y ┌┴─┴─┴─┴─┴─┴─┴┐ │1413 12 11 10 9 8│ Y = A )│六驱动器(OC高压输出) 74LS07 │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ 1A 1Y 2A 2Y 3A 3Y GND Vcc -4C 4A 4Y -3C 3A 3Y ┌┴─┴─┴─┴─┴─┴─┴┐ _ │1413 12 11 10 9 8│

Y =A+C )│四总线三态门 74LS125 │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ -1C 1A 1Y -2C 2A 2Y GND Vcc -G B1 B2 B3 B4 B8 B6 B7 B8 ┌┴─┴─┴─┴─┴─┴─┴─┴─┴─┴┐8位总线驱动器 74LS245 │20 19 18 17 16 15 14 13 12 11│ )│DIR =1 A=>B │ 1 2 3 4 5 6 7 8 9 10│DIR=0 B=>A └┬─┬─┬─┬─┬─┬─┬─┬─┬─┬┘ DIR A1 A2 A3 A4 A5 A6 A7 A8 GND 页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器 正逻辑与门,与非门: Vcc 4B 4A 4Y 3B 3A 3Y ┌┴─┴─┴─┴─┴─┴─┴┐ │1413 12 11 10 9 8│ Y = AB )│2输入四正与门 74LS08 │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ 1A 1B 1Y 2A 2B 2Y GND Vcc 4B 4A 4Y 3B 3A 3Y ┌┴─┴─┴─┴─┴─┴─┴┐ __ │1413 12 11 10 9 8│ Y = AB )│2输入四正与非门 74LS00 │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ 1A 1B 1Y 2A 2B 2Y GND Vcc 1C 1Y 3C 3B 3A 3Y ┌┴─┴─┴─┴─┴─┴─┴┐ ___ │1413 12 11 10 9 8│ Y = ABC )│3输入三正与非门 74LS10 │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ 1A 1B 2A 2B 2C 2Y GND Vcc H G Y

《数字电子技术基础》复习指导(第十章)

第十章 数-模和模-数转换 一、本章知识点 权电阻、倒T 形D/A 转换器的原理 双极型D/A 转换应用电路分析。(题9.3) D/A 转换器V O 的计算,考虑线性误差后V O 的实际范围 A/D 转换的步骤; A/D 转换的分辨率(基本概念) 采样定理的内容和物理含义 并联比较型、计数型、逐次比较型、双积分型A/D 转换器转换速度的比较 计数型、逐次比较型A/D 转换器转换时间的计算 二、例题 (一)概念题 1.对于n 位的权电阻网络D/A 转换器,当求和运算放大器的反馈电阻为 2R 时,输出电压的 公式为V 0= 。 2.对于倒T 型电阻网络D/A 转换器,其电阻网络中只有 两种阻值的电阻。 3.一个4位D/A 转换器,满量程电压为10V ,其线性误差为± 2 1LSB ,当输入为1100时, 其输出电压实际值的范围为 。 4.一个8位D/A 转换器,V REF =10V ,其线性误差为±1LSB ,当输入为10001000时,其输出电压实际值的范围为 ;其中(10001000)B =( )10 。 5.设有一被测量温度的变化范围为10 0C ~800 0C ,要求分辨率为1 0C ,则应选用的A/D 转换器的分辨率至少为 位。 6.某8位输出的逐次比较型 A/D 转换器,若它使用的时钟频率为100KHz ,则该A/D 转换 器完成一次A/D 转换所需要的时间为 。 7.A/D 转换的过程可分为取样、保持、 及编码四步。 8.采样定理f s ≥2f imax 中的f imax 是指 。 9.计数式A/D 转换器中,若输出的数字信号为12位,时钟信号频率为4MHz ,则完成一次转换的最长时间是 ms ?如果希望最大转换时间小于100us ,那么时钟信号的频率应选用 HZ ? 10.一个8位D/A 转换器,若最小分辨电压VLSB=20mV ,当输入代码为10010111时,输出电压为 V ?该转换器的分辨率是

数字电子技术基本第三版第三章答案解析

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点:

无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.综合分析与设计 题型1为根据已知电路分析逻辑功能; 题型2为根据给定的逻辑问题,设计出满足要求的逻辑电路。 建议分配的分数为6~12分。 第二节思考题题解

数字电子技术基础--第一章练习题及参考答案

第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()

常用74系列芯片

74系列集成电路大全 74系列集成电路大致可分为6大类: 74××(标准型); 74LS××(低功耗肖特基); 74S××(肖特基); 74ALS××(先进低功耗肖特基); 74AS××(先进肖特基); 74F××(高速)。 HC为COMS工作电平; HCT为TTL工作电平,可与74LS系列互换使用; HCU适用于无缓冲级的CMOS电路。 这9种74系列产品,只要后边的标号相同,其逻辑功能和管脚排列就相同。根据不同的条件和要求可选择不同类型的74系列产品,比如电路的供电电压为3V就应选择74HC系列的产品。 补充: .74 –系列 这是早期的产品,现仍在使用,但正逐渐被淘汰。 2.74H –系列 这是74 –系列的改进型,属于高速TTL产品。其“与非门”的平均传输时间达10ns左右,但电路的静态功耗较大,目前该系列产品使用越来越少,逐渐被淘汰。 3.74S –系列 这是TTL的高速型肖特基系列。在该系列中,采用了抗饱和肖特基二极管,速度较高,但品 种较少。 4.74LS –系列 这是当前TTL类型中的主要产品系列。品种和生产厂家都非常多。性能价格比比较高,目前 在中小规模电路中应用非常普遍。 5.74ALS –系列

这是“先进的低功耗肖特基”系列。属于74LS –系列的后继产品,速度(典型值为 4ns)、功耗(典型值为1mW)等方面都有较大的改进,但价格比较高。 6.74AS –系列 这是74S –系列的后继产品,尤其速度(典型值为1.5ns)有显著的提高,又称“先进超高 速肖特基”系列。 7.74HC –系列 54/74HC –系列是高速CMOS标准逻辑电路系列,具有与74LS –系列同等的工作度和CMOS 集成电路固有的低功耗及电源电压范围宽等特点。74HCxxx是74LSxxx同序号的翻版,型号最 后几位数字相同,表示电路的逻辑功能、管脚排列完全兼容,为用74HC替代74LS提供了方 便。 74AC –系列 该系列又称“先进的CMOS集成电路”,54/74AC 系列具有与74AS系列等同的工作速度和与 CMOS集成电路固有的低功耗及电源电压范围宽等特点。 74系列集成电路的分类及区别 ACT 高性能CMOS逻辑门系列(输入TTL兼容具缓冲功能) AC 高性能CMOS逻辑门系列(具缓冲功能) ALS 高性能低功耗逻辑门系列(TTL兼容具缓冲功能) AS 高性能逻辑门系列(TTL兼容具缓冲功能) C CMOS逻辑门系列 FCT 高速CMOS逻辑门系列 F 高速逻辑门系列(TTL兼容) HC-4XX 高速COMS逻辑门系列(TTL兼容) HCT-4XX 高速COMS逻辑门系列(TTL兼容) HCT 高速COMS逻辑门系列(TTL兼容)

74系列芯片数据手册大全2017

74系列芯片数据手册大全【强烈推荐】 74系列集成电路名称与功能常用74系列标准数字电路的中文名称资料7400 TTL四2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压缓冲驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发器 74109 TTL 带预置清除正触发双J-K触发器 7411 TTL 3输入端3与门 74112 TTL 带预置清除负触发双J-K触发器 7412 TTL 开路输出3输入端三与非门 74121 TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器 74123 TTL 双可再触发单稳态多谐振荡器 74125 TTL 三态输出高有效四总线缓冲门 74126 TTL 三态输出低有效四总线缓冲门 7413 TTL 4输入端双与非施密特触发器 74132 TTL 2输入端四与非施密特触发器 74133 TTL 13输入端与非门 74136 TTL 四异或门 74138 TTL 3-8线译码器/复工器 74139 TTL 双2-4线译码器/复工器 7414 TTL 六反相施密特触发器 74145 TTL BCD—十进制译码/驱动器 7415 TTL 开路输出3输入端三与门 74150 TTL 16选1数据选择/多路开关 74151 TTL 8选1数据选择器 74153 TTL 双4选1数据选择器 74154 TTL 4线—16线译码器 74155 TTL 图腾柱输出译码器/分配器 74156 TTL 开路输出译码器/分配器 74157 TTL 同相输出四2选1数据选择器 74158 TTL 反相输出四2选1数据选择器 7416 TTL 开路输出六反相缓冲/驱动器 74160 TTL 可预置BCD异步清除计数器 74161 TTL 可予制四位二进制异步清除计数器

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD 码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

74系列和CMOS芯片功能大全

74系列芯片功能大全7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发器 74109 TTL 带预置清除正触发双J-K触发器7411 TTL 3输入端3与门 74112 TTL 带预置清除负触发双J-K触发器7412 TTL 开路输出3输入端三与非门 74121 TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器 74123 TTL 双可再触发单稳态多谐振荡器 74125 TTL 三态输出高有效四总线缓冲门 74126 TTL 三态输出低有效四总线缓冲门

7413 TTL 4输入端双与非施密特触发器74132 TTL 2输入端四与非施密特触发器74133 TTL 13输入端与非门 74136 TTL 四异或门 74138 TTL 3-8线译码器/复工器 74139 TTL 双2-4线译码器/复工器 7414 TTL 六反相施密特触发器 74145 TTL BCD—十进制译码/驱动器 7415 TTL 开路输出3输入端三与门 74150 TTL 16选1数据选择/多路开关74151 TTL 8选1数据选择器 74153 TTL 双4选1数据选择器 74154 TTL 4线—16线译码器 74155 TTL 图腾柱输出译码器/分配器74156 TTL 开路输出译码器/分配器 74157 TTL 同相输出四2选1数据选择器74158 TTL 反相输出四2选1数据选择器7416 TTL 开路输出六反相缓冲/驱动器74160 TTL 可预置BCD异步清除计数器74161 TTL 可予制四位二进制异步清除计数器74162 TTL 可预置BCD同步清除计数器74163 TTL 可予制四位二进制同步清除计数器

74系列元件引脚图

反相器驱动器LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS24 5 与门与非门LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门或非门与或非门LS02 LS32 LS51 LS64 LS65 异或门比较器LS86 译码器LS138 LS139 寄存器LS74 LS175 LS373 反相器: Vcc 6A 6Y 5A 5Y 4A 4Y 六非门 74LS04 ┌┴—┴—┴—┴—┴—┴—┴┐六非门(OC门) 74LS05 _ │14 13 12 11 10 9 8│六非门(OC高压输出) 74LS06 Y = A )│ │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND 驱动器: Vcc 6A 6Y 5A 5Y 4A 4Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = A )│六驱动器(OC高压输出) 74LS07 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND Vcc -4C 4A 4Y -3C 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ _ │14 13 12 11 10 9 8│

Y =A+C )│四总线三态门74LS125 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ -1C 1A 1Y -2C 2A 2Y GND Vcc -G B1 B2 B3 B4 B8 B6 B7 B8 ┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8位总线驱动器74LS245 │20 19 18 17 16 15 14 13 12 11│ )│ DIR=1 A=>B │1 2 3 4 5 6 7 8 9 10│ DIR=0 B=>A └┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘ DIR A1 A2 A3 A4 A5 A6 A7 A8 GND 页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器 正逻辑与门,与非门: Vcc 4B 4A 4Y 3B 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = AB )│ 2输入四正与门74LS08 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1B 1Y 2A 2B 2Y GND Vcc 4B 4A 4Y 3B 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ __ │14 13 12 11 10 9 8│ Y = AB )│ 2输入四正与非门74LS00 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1B 1Y 2A 2B 2Y GND Vcc 1C 1Y 3C 3B 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ ___ │14 13 12 11 10 9 8│ Y = ABC )│ 3输入三正与非门74LS10 │1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1B 2A 2B 2C 2Y GND

数字电路第一章数字电路习题集和答案

第一章绪论练习题 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2.以下代码中为恒权码的为。 码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为。 101 0101 C.100101 、 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数()10等值的数或代码为。 A.(0101 8421BCD B.16 C.2 D.8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数8等值的数为: A. 2 B.16 C. )16 D.2 9. 常用的B CD码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 ( 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强11.把B二进制数转换成十进制数为() A. 150 B. 96 C.82 D. 159 12.将4FBH转换为十进制数( ) A. 0B B. 0B C. 0 D. 13.将数转换为十六进制数为() B.

C. D. ! 14.将十进制数130转换为对应的八进制数: B. 82 C. 120 D. 230 15.分别用842lBCD码表示()2为() B. 98 C. 980 D. 120 二、判断题(正确打√,错误的打×) 1. 方波的占空比为。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() : 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。() 7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数 有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低 电平常用和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、 ) 、。 5.常用的BCD码有、、、等。常用的

74系列芯片引脚图

74系列芯片引脚图、功能、名称、资料大全(含74LS、74HC等),特别推荐为了方便大家,我收集了下列74系列芯片的引脚图资料。 说明:本资料分3部分:(一)、TXT文档,(二)、图片,(三)、功能、名称、资料。 (一)、TXT文档 反相器驱动器LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245 与门与非门LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门或非门与或非门 LS02 LS32 LS51 LS64 LS65 异或门比较器LS86 译码器LS138 LS139 寄存器LS74 LS175 LS373

反相器: Vcc 6A 6Y 5A 5Y 4A 4Y 六非门 74LS04 ┌┴—┴—┴—┴—┴—┴—┴┐六非门(OC门) 74LS05 _ │14 13 12 11 10 9 8│六非门(OC高压输出) 74LS06 Y = A )│ │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ 1A 1Y 2A 2Y 3A 3Y GND 驱动器: Vcc 6A 6Y 5A 5Y 4A 4Y ┌┴—┴—┴—┴—┴—┴—┴┐ │14 13 12 11 10 9 8│ Y = A )│六驱动器(OC高压输出) 74LS07 │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘

1A 1Y 2A 2Y 3A 3Y GND Vcc -4C 4A 4Y -3C 3A 3Y ┌┴—┴—┴—┴—┴—┴—┴┐ _ │14 13 12 11 10 9 8│ Y =A+C )│四总线三态门 74LS125 │ 1 2 3 4 5 6 7│ └┬—┬—┬—┬—┬—┬—┬┘ -1C 1A 1Y -2C 2A 2Y GND Vcc -G B1 B2 B3 B4 B8 B6 B7 B8 ┌┴—┴—┴—┴—┴—┴—┴—┴—┴—┴┐ 8位总线驱动器 74LS245 │20 19 18 17 16 15 14 13 12 11│ )│ DIR=1 A=>B │ 1 2 3 4 5 6 7 8 9 10│ DIR=0 B=>A └┬—┬—┬—┬—┬—┬—┬—┬—┬—┬┘ DIR A1 A2 A3 A4 A5 A6 A7 A8 GND

74系列单片机大全

,7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器7407 TTL 集电极开路六正相高压驱动器7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发器74109 TTL 带预置清除正触发双J-K触发器7411 TTL 3输入端3与门 74112 TTL 带预置清除负触发双J-K触发器7412 TTL 开路输出3输入端三与非门74121 TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器74123 TTL 双可再触发单稳态多谐振荡器74125 TTL 三态输出高有效四总线缓冲门74126 TTL 三态输出低有效四总线缓冲门7413 TTL 4输入端双与非施密特触发器74132 TTL 2输入端四与非施密特触发器74133 TTL 13输入端与非门 74136 TTL 四异或门 74138 TTL 3-8线译码器/复工器 74139 TTL 双2-4线译码器/复工器 7414 TTL 六反相施密特触发器 74145 TTL BCD—十进制译码/驱动器7415 TTL 开路输出3输入端三与门

74150 TTL 16选1数据选择/多路开关 74151 TTL 8选1数据选择器 74153 TTL 双4选1数据选择器 74154 TTL 4线—16线译码器 74155 TTL 图腾柱输出译码器/分配器 74156 TTL 开路输出译码器/分配器 74157 TTL 同相输出四2选1数据选择器74158 TTL 反相输出四2选1数据选择器 7416 TTL 开路输出六反相缓冲/驱动器 74160 TTL 可预置BCD异步清除计数器 74161 TTL 可予制四位二进制异步清除计数器74162 TTL 可预置BCD同步清除计数器 74163 TTL 可予制四位二进制同步清除计数器74164 TTL 八位串行入/并行输出移位寄存器74165 TTL 八位并行入/串行输出移位寄存器74166 TTL 八位并入/串出移位寄存器 74169 TTL 二进制四位加/减同步计数器 7417 TTL 开路输出六同相缓冲/驱动器 74170 TTL 开路输出4×4寄存器堆 74173 TTL 三态输出四位D型寄存器 74174 TTL 带公共时钟和复位六D触发器74175 TTL 带公共时钟和复位四D触发器74180 TTL 9位奇数/偶数发生器/校验器 74181 TTL 算术逻辑单元/函数发生器 74185 TTL 二进制—BCD代码转换器 74190 TTL BCD同步加/减计数器 74191 TTL 二进制同步可逆计数器 74192 TTL 可预置BCD双时钟可逆计数器74193 TTL 可预置四位二进制双时钟可逆计数器74194 TTL 四位双向通用移位寄存器

电子技术基础(第一部分)——第十章 数字电路基础

第十章数字电路基础 【本章逻辑结构】 【本章重点内容】 1.数制及转换相关知识 2.基本门电路及功能 3.逻辑函数的公式化简法。 【本章考试要点】 第一节数字电路概述 1.数字电路的特点: (1)电路结构简单,稳定可靠。 (2)信号传输采用高低电平二值信号,抗干扰能力强。 (3)可完成数值运算和逻辑运算,双称逻辑电路。 (4)数字电路的元器件处于开关状态,功耗小。 2.脉冲信号 (1)持续时间极短的电压或电流信号叫脉冲信号。 (2)常见的脉冲波形有:矩形波、锯齿波、尖脉冲、阶梯波等。 (3)脉冲的主要参数有:脉冲幅值Vm、脉冲上升时间tr、脉冲下降时间tf、脉冲宽度tw、脉冲周期T。 3.数字信号 (1)由1和0来表示脉冲的出现和消失,一串脉冲就表示为一串1和0组成的数码,这种信号称为数字信号。 (2)正逻辑:1代表高电平,0代表低电平。 (3)负逻辑:1代表低电平,0代表高电平。 第二节RC电路的应用 1.RC微分电路 (1)微分电路能将矩形波转换成尖顶波,为触发器、计数器、开关电路提供触发信号。 (2)RC电路成为微分电路的条件:电路时间常数应远远大于输入脉冲宽度(至少

1/5),即τ=RC ,τ《w t 通常τ<1/5w t 时可以认为满足条件。 1. RC 积分电路 (1) 积分电路可以把矩形波转换成三角波,常用来作为数字电路中的定时元件,电视机 中用来从复合同步信号中取出场同步信号。 (2) RC 电路成为积分电路的条件:电路时间常数应远远大于输入脉冲宽度(至少3倍), 即τ=RC ,τ《w t 通常τ<1/5w t 时可以认为满足条件。 第三节 数制及码制 1. 数制 (1) 数制是计数的一种体制,常有的有十时制,而数字电路中常采用二进制(数码 有0、1)、八进制(数码有0~7)、十六进制(数码有0~1、A 、B 、C 、D 、E 、F )。 (2) 二进制数、十六进制数转换成十进制数的方法:乘权相加法。 例:(1101.1)2=1×23+1×22+0+21+1×20+1×2-1 =(13.5)10 (3AE)16=3×162+10×101+14×160 =(942)10 (3)十进制数转换成二进制数的方法:除以2取余倒记法(先得到的余数作为最低位。) 例(19)10=(10011)2 (3) 二进制数和十六进制数的互化:任意四位二进制数都和一位十六进制数唯一相 对。(11010110101.1100101)2=(0110 1011 0101.1100 1010)=(6B5.CA)16 (7E6)16=(0111 1110 0110)2 第四节 逻辑门电路基础 1. 基本逻辑门 (1) 与逻辑门

74系列芯片功能大全解析

74系列芯片功能大全 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器7407 TTL 集电极开路六正相高压驱动器7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发器74109 TTL 带预置清除正触发双J-K触发器7411 TTL 3输入端3与门 74112 TTL 带预置清除负触发双J-K触发器7412 TTL 开路输出3输入端三与非门74121 TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器74123 TTL 双可再触发单稳态多谐振荡器74125 TTL 三态输出高有效四总线缓冲门74126 TTL 三态输出低有效四总线缓冲门

7413 TTL 4输入端双与非施密特触发器74132 TTL 2输入端四与非施密特触发器74133 TTL 13输入端与非门 74136 TTL 四异或门 74138 TTL 3-8线译码器/复工器 74139 TTL 双2-4线译码器/复工器 7414 TTL 六反相施密特触发器 74145 TTL BCD—十进制译码/驱动器 7415 TTL 开路输出3输入端三与门 74150 TTL 16选1数据选择/多路开关 74151 TTL 8选1数据选择器 74153 TTL 双4选1数据选择器 74154 TTL 4线—16线译码器 74155 TTL 图腾柱输出译码器/分配器 74156 TTL 开路输出译码器/分配器 74157 TTL 同相输出四2选1数据选择器74158 TTL 反相输出四2选1数据选择器7416 TTL 开路输出六反相缓冲/驱动器74160 TTL 可预置BCD异步清除计数器74161 TTL 可予制四位二进制异步清除计数器74162 TTL 可预置BCD同步清除计数器74163 TTL 可予制四位二进制同步清除计数器

数字电子技术基础第一章习题答案

第一章习题解答[题1.1]」【解】 (1) () 2=(97) 16 =(151) 10 (2)() = 16 ) 6(D=(109)10 (3)( 0. ) 2=(0.5F) 16 =(0.) 10 (4)(11. 001) 2=(3. 2) 16 =(3.125) 10 [题1. 2]将下列十六进制数化为等值的二进制数和等值的十进制数。 【解】 (1) (8C) 16=() 2 =(140) 10 (2) (3D.BE) 16= (.) 2 =(61. ) 10 (3)(8F.FF) 16=(.) 2 =( 143.) 10 (4)(10.00) 16=(10000.) 2 = (16. ) 10 [题1. 3][解] (17) 10=(10001) 2 =(11) 16 (127) 10 =() 2 =(7F) 16 (0.39) 10=(0.0110) 2 =(0.6) 16 (25.7) 10 =(11001.1011) 2 =(19.B) 16 [题1. 4] [解] (1) (+1011) 2 的原码和补码都是01011(最高位的0是符号位)。 (2) (+00110) 2 的原码和补码都是(最高位的0是符号位)。 (3) (-1101) 2 的原码是11101(最高位的1是符号位),补码是10011 (4) (-) 2 的原码是(最高位的1是符号位),补码是 [题1. 5] [解] (1)首先找出真值表中所有使函数值等于1的那些输人变量组合。 然后写出一组变量组合对应的一个乘积项,取值为1的在乘积项中写

为原变量,取值为0的在乘积项中写为反变量。最后,将这些乘积项相加,就得到所求的逻辑函数式。 (2)将输人变量取值的所有状态组合逐一代入逻辑函数式,求出相 应的函数值。然后把输入变量取值与函数值对应地列成表,就得到了函数的真值表。 (3)将逻辑图中每个逻辑图形符号所代表的逻辑运算式按信号传输方 向逐级写出,即可得到所求的逻辑函数式。 (4)用逻辑图形符号代替函数式中的所有逻辑运算符号,就可得到由 逻辑图形符号连接成的逻辑图了。 [题1. 6] [解] 表Pl. 6( a)对应的逻辑函数式为 表P1.6(b)对应的逻辑函数式为 [题1. 7] [解]

74系列芯片功能区分

74系列芯片功能区分 74HC/LS/HCT/F系列芯片的区别 1、 LS是低功耗肖特基,HC是高速COMS。LS的速度比HC略快。HCT输入输出与LS 兼容,但是功耗低;F是高速肖特基电路; 2、 LS是TTL电平,HC是COMS电平。 3、 LS输入开路为高电平,HC输入不允许开路, hc 一般都要求有上下拉电阻来确定输入端无效时的电平。LS 却没有这个要求 4、 LS输出下拉强上拉弱,HC上拉下拉相同。 5、工作电压不同,LS只能用5V,而HC一般为2V到6V; 6、电平不同。LS是TTL电平,其低电平和高电平分别为0.8和V2.4,而CMOS在工作电压为5V时分别为0.3V和3.6V,所以CMOS可以驱动TTL,但反过来是不行的 7、驱动能力不同,LS一般高电平的驱动能力为5mA,低电平为20mA;而CMOS的高低电平均为5mA; 8、 CMOS器件抗静电能力差,易发生栓锁问题,所以CMOS的输入脚不能直接接电源。74系列集成电路大致可分为6大类: . 74××(标准型); .74LS××(低功耗肖特基); .74S××(肖特基);.74ALS××(先进低功耗肖特基); .74AS××(先进肖特基);.74F××(高速)。 近年来还出现了高速CMOS电路的74系列,该系列可分为3大类: . HC为COMS工作电平;. HCT为TTL工作电平,可与74LS系列互换使用; .HCU适用于无缓冲级的CMOS电路。 这9种74系列产品,只要后边的标号相同,其逻辑功能和管脚排列就相同。根据不同的条件和要求可选择不同类型的74系列产品,比如电路的供电电压为3V就应选择74HC系列的产品系列电平典型传输延迟ns 最大驱动电流(-Ioh/Lol)mA AHC CMOS 8.5 -8/8 AHCT COMS/TTL 8.5 -8/8 HC COMS 25 -8/8 HCT COMS/TTL 25 -8/8 ACT COMS/TTL 10 -24/24 F TTL 6.5 -15/64 ALS TTL 10 -15/64 LS TTL 18 -15/24 LVC AC S LC 注:同型号的74系列、74HC系列、74LS系列芯片,逻辑功能上是一样的。 74LSxx的使用说明如果找不到的话,可参阅74xx或74HCxx的使用说明。有些资料里包含了几种芯片,如74HC161资料里包含了74HC160、74HC161、 74HC162、74HC163四种芯片的资料。找不到某种芯片的资料时,可试着查看一下临近型号的芯片资料。TTL 器件和CMOS器件的逻辑电平分类:默认栏目TTL器件和CMOS器件的逻辑电平逻辑电平的一些概念要了解逻辑电平的内容,首先要知道以下几个概念的含义: 1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。 2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,当输入电平低于Vil时,则认为输入电平为低电平。 3:输出高电平(V oh):保证逻辑门的输出为高电平时的输出电平的最小值,逻辑门的输出为高电平时的电平值都必须大于此V oh。 4:输出低电平(V ol):保证逻辑门的输出为低电平时的输出电平的最大值,逻辑门的输出为低电平时的电平值都必须小于此V ol。

常用74系列数字电路的中文名称

常用74系列标准数字电路的中文名称资料 器件代号 器件名称 74 74LS 74HC 00 四2输入端与非门 √ √ √ 01 四2输入端与非门(OC) √ √ 02 四2输入端或非门 √ √ √ 03 四2输入端与非门(OC) √ √ 04 六反相器 √ √ √ 05 六反相器(OC) √ √ 06 六高压输出反相器(OC,30V) √ √ 07 六高压输出缓冲,驱动器(OC,30V) √ √ √ 08 四2输入端与门 √ √ √ 09 四2输入端与门(OC) √ √ √ 10 三3输入端与非门 √ √ √ 11 三3输入端与门 √ √ 12 三3输入端与非门(OC) √ √ √ 13 双4输入端与非门 √ √ √ 14 六反相器 √ √ √ 15 三3输入端与门 (OC) √ √ 16 六高压输出反相器(OC,15V) √ 17 六高压输出缓冲,驱动器(OC,15V) √ 20 双4输入端与非门 √ √ √ 21 双4输入端与门 √ √ √ 22 双4输入端与非门(OC) √ √ 25 双4输入端或非门(有选通端) √ √ √ 26 四2输入端高压输出与非缓冲器 √ √ √ 27 三3输入端或非门 √ √ √ 28 四2输入端或非缓冲器 √ √ √ 器件代号 器件名称 74 74LS 74HC 30 8输入端与非门 √ √ √ 32 四2输入端或门 √ √ √ 33 四2输入端或非缓冲器(OC) √ √ 37 四2输入端与非缓冲器 √ √ 38 四2输入端与非缓冲器(OC) √ √ 40 双4输入端与非缓冲器 √ √ √ 42 4线-10线译码器(BCD输入) √ √ 43 4线-10线译码器(余3码输入) √ 44 4线-10线译码器(余3葛莱码输入) √ 48 4线-7段译码器 √ 49 4线-7段译码器 √ 50 双2路2-2输入与或非门 √ √ √ 51 2路3-3输入,2路2-2输入与或非门 √ √ √ 52 4路2-3-2-2输入与或门 √ 53 4路2-2-2-2输入与或非门 √ 54 4路2-3-3-2输入与或非门 √ √ 55 2路4-4输入与或非门 √ 60 双4输入与扩展器 √ √ 61 三3输入与扩展器 √ 62 4路2-3-3-2输入与或扩展器 √ 64 4路4-2-3-2输入与或非门 √ 65 4路4-2-3-2输入与或非门(OC) √ 70 与门输入J-K触发器 √ 71 与或门输入J-K触发器 √ 72 与门输入J-K触发器 √ 器件代号 器件名称 74 74LS 74HC 74 双上升沿D型触发器 √ √ 78 双D型触发器 √ √ 85 四位数值比较器 √ 86 四2输入端异或门 √ √ √ 87 4位二进制原码/反码 √ 95 4位移位寄存器 √ 101 与或门输入J-K触发器 √ 102 与门输入J-K触发器 √ 107 双主-从J-K触发器 √ 108 双主-从J-K触发器 √ 109 双主-从J-K触发器 √ 110 与门输入J-K触发器 √ 111 双主-从J-K触发器 √ √ 112 双下降沿J-K触发器 √ 113 双下降沿J-K触发器 √ 114 双下降沿J-K触发器 √

相关文档
最新文档