Verilog中的逻辑运算符和按位运算符

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

verilog中的位运算符,缩位运算符和逻辑运算符的说明

1,位运算符

按位运算的运算符是位运算符,原来的操作数有几位,结果就有几位,若两个操作数位数不同,则位数短的操作数左端会自动补0。

(1),按位取反:~

(2),按位与:&

(3),按位或:|

(4),按位异或:^

(5),按位同或:^~或~^

2,缩位运算符(又称归约运算符)

缩位运算符是单目运算符,按位进行逻辑运算,结果是一位值!

(1),与缩位运算符:&

(2),或缩位运算符:|

(3),异或缩位运算符:^

(4),与,或,异或运算符和非运算符组成的复合运算符:~&,~|,~^

3,逻辑运算符(逻辑关系运算)

(1),逻辑与:&&

(2),逻辑或:||

(3),逻辑非:!

其中,逻辑与和逻辑或是双目运算符,逻辑非是单目运算符。

如果操作数是多位的,则将操作数看做整体,若操作数中每一位都是0值则为逻辑0值,若操作数当中有1,则做位逻辑1值。

相关文档
最新文档