EDA技术实用教程

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

5.3 嵌入式逻辑分析仪使用方法
1.打开SignalTap II编辑窗
图5-36ESDAig技n术实a用lT教a程p II编辑窗
K 康芯科技 X
5.3 嵌入式逻辑分析仪使用方法
2.调入待测信号 3.SignalTap II参数设置
图5-37 ESDAig技n术实a用lT教a程p II编辑窗
K 康芯科技 X
图5-29 ByteBlaster II接口AS模式编程窗口
EDA技术实用教程
K 康芯科技 X
5.2 引脚设置和下载
5.2.4 JTAG间接模式编程配置器件
图5-30 选择目ED标A技器术实件用教E程P2C5T144
K 康芯科技 X
5.2.4 JTAG间接模式编程配置器件
图5-31 选定SOEDFA技文术实件用教后程,选择文件压缩
K 康芯科技 X
5.2 引脚设置和下载
5.2.4 JTAG间接模式编程配置器件
图5-32 用JTAG模式对配置器件EPCS1进行间接编程
EDA技术实用教程
K 康芯科技 X
5.2.5 USB Blaster编程配置器件使用方法
图5-33 安装EUDAS技术B实驱用教动程 程序
K 康芯科技 X
5.2 引脚设置和下载
K 康芯科技 X
5.1 基本设计流程
5.1.2 创建工程
图5-3 将所有相关的E文DA技件术实都用教加程 入进此工程
K 康芯科技 X
5.1 基本设计流程
5.1.2 创建工程
图5-4 选择目标器ED件A技E术实P用2教C程5T144C8
K 康芯科技 X
5.1 基本设计流程
5.1.2 创建工程
图5-5 将Max+pluEsDIA技I工术实程用教转程 换为QuartusII工程
K 康芯科技 X
5.1.6 应用RTL电路图观察器
图5-21 cEnDAt技1术0实工用教程程的RTL电路图
K 康芯科技 X
5.2.1 引脚锁定
图5-22 GW48ED实A技验术实系用教统程 模式5实验电路图
K 康芯科技 X
5.2 引脚设置和下载
5.2.1 引脚锁定
图5-23 AEsDsAi技g术n实m用教en程t Editor编辑器
EDA技术实用教程
K 康芯科技 X
5.3 嵌入式逻辑分析仪使用方法
6.启动SignalTap II进行采样与分析
图5-40 SignalTap II采样已被启动
K 康芯科技 X
5.1 基本设计流程
5.1.3 编译前设置
图5-6 选择目标E器DA技件术实E用P教程2C5T144C8
K 康芯科技 X
5.1 基本设计流程
5.1.3 编译前设置
图5-7选择ED配A技置术实器用教件程 的工作方式
K 康芯科技 X
5.1 基本设计流程
5.1.3 编译前设置
图5-8 选择ED配A技术置实用器教程件和编程方式
EDA 技术实用教程
第5 章 QuartusII
EDA技术实用教程
5.1 基本设计流程
5.1.1 建立工作库文件夹和编辑设计文件
图5-1 选择编辑文件的语言类型,键入源程序并存盘
EDA技术实用教程
K 康芯科技 X
5.1 基本设计流程
5.1.2 创建工程
图5-2 利用“New PrejeEDcAt技W术实i用z教a程rd”创建工程cnt10
K 康芯科技 X
5.2 引脚设置和下载
5.2.2 配置文件下载
图5-27 双E击DA技选术实中用教的程 编程方式名
K 康芯科技 X
5.2 引脚设置和下载
5.2.2 配置文件下载
图5-28 ByEDteA技B术l实a用st教e程rII编程下载窗
K 康芯科技 X
5.2 引脚设置和下载
5.2.3 AS模式编程配置器件
K 康芯科技 X
5.1 基本设计流程
5.1.5 时序仿真
图5-18 选择ED仿A技术真实用控教程制
K 康芯科技 X
5.1 基本设计流程
5.1.5 时序仿真
图5-19 仿真EDA波技术形实用输教程出
K 康芯科技 X
5.1 基本设计流程
5.1.5 时序仿真
图5-20 选择全时域显示
EDA技术实用教程
K 康芯科技 X
5.2 引脚设置和下载
5.2.1 引脚锁定
图5-24 两种引ED脚A技锁术实定用教对程 话框
K 康芯科技 X
5.2 引脚设置和下载
5.2.2 配置文件下载
图5-25 选E择DA技编术实程用教下程 载文
K 康芯科技 X
5.2 引脚设置和下载
5.2.2 配置文件下载
图5-26加入EDA编技术程实用下教程载方式
5.1 基本设计流程
5.1.5 时序仿真
图5-15 设置ED时A技术钟实用C教L程K的周期
K 康芯科技 X
5.1 基本设计流程
5.1.5 时序仿真
图5-16 选择ED总A技术线实用数教程据格式
K 康芯科技 X
5.1 基本设计流程
5.1.5 时序仿真
图5-17设置好的激励波形图
EDA技术实用教程
5.1 基本设计流程
5.1பைடு நூலகம்5 时序仿真
图5-12 设置仿真时间长度
EDA技术实用教程
K 康芯科技 X
5.1 基本设计流程
5.1.5 时序仿真
图5-13 vwfE激DA技励术实波用教形程 文件存盘
K 康芯科技 X
5.1.5 时序仿真
图5-14 向波ED形A技术编实用辑教程器拖入信号节点
K 康芯科技 X
5.2.5 USB Blaster编程配置器件使用方法
图5-34ED设A技置术实J用T教程AG硬件功能
K 康芯科技 X
5.2 引脚设置和下载
5.2.5 USB Blaster编程配置器件使用方法
K 图5-35 在In-System MemEoDrAy技术C实o用n教t程ent Editor中使用USB Blaster 康芯科技 X
K 康芯科技 X
5.1.4 全程编译
EDA技术实用教程
图5-9 全程编译后出现报错信息
K 康芯科技 X
5.1 基本设计流程
5.1.5 时序仿真
图5-10 选择ED编A技术辑实用矢教程量波形文件
K 康芯科技 X
5.1 基本设计流程
5.1.5 时序仿真
图5-11 波形ED编A技术辑实用器教程
K 康芯科技 X
5.3 嵌入式逻辑分析仪使用方法
4.文件存盘
图5-38 设定SignEaDlAT技a术p实用I教I与程 工程一同综合适配
K 康芯科技 X
5.3 嵌入式逻辑分析仪使用方法
5.编译下载 6.启动SignalTap II进行采样与分析
图5-39 下载cnt10.sof并准备启动SignalTap II
相关文档
最新文档