8路彩灯控制器说课讲解
EDA课程设计:八路彩灯控制器
EDA课程设计:八路彩灯控制器第一篇:EDA课程设计:八路彩灯控制器EDA课程设计设计题目:基于VHDL的8路彩灯控制器设计一、课程设计的目的1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。
2.增强自己实际动手能力,独立解决问题的能力。
3.通过课程设计对所学的知识进行更新及巩固.二、课程设计的基本要求本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。
设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。
三、课程设计的内容编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为0.25s和0.5s。
三种花型分别是:(1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。
(2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。
(3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。
四、实验环境PC机一台;软件Quartu sⅡ6.0五、课程设计具体步骤及仿真结果1、系统总体设计框架结构分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。
二选一模块:选择两种频率中的一个控制彩灯的花型。
8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。
2、系统硬件单元电路设计1.分频模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenclkk1:= not clkk1;end if;clkk<=clkk1;end process;end behav;RTL电路图:波形图:2.二选一模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;RTL电路图:波形图:3.8路彩灯的三种花型控制模块设计程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when “00100”=>q<=“11111111”;when “00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when“01100”=>q<=“10000001”;when“01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;w hen“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;RTL电路图:波形图:4.综合程序library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenend if;clkk<=clkk1;end process;end behav;library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;library ieee;clkk1:= not clkk1;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when“00100”=>q<=“11111111”;when“00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when “01100”=>q<=“10000001”;when “01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;when“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng is port(clk,s,rst:in std_logic;q:out std_logic_vector(7 downto 0));end;architecture one of balucaideng issignal h0,h1:std_logic;component fenpin2port(clk:in std_logic;clkk:out std_logic);end component;component mux21 port(a,b,s:in std_logic;y:out std_logic);end component;component color8 port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end component;begin u1: fenpin2 port map(clk=>clk,clkk=>h0);u2: mux21 port map(a=>h0,b=>clk,s=>s;y=>h1);u3: color8 port map(clk=>h1,rst=>rst,q=>q);end;波形图:六、实验总结第二篇:eda课程设计-彩灯控制器1.设计目的学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。
八路彩灯课程设计八路彩灯控制器的设计
八路彩灯课程设计--八路彩灯控制器的设计课程设计报告课程: 数字电路题目:八路彩灯控制器的设计院系: 物理与电子信息学院专业: 2011级电子信息科学与技术学号: 20111302031姓名: 李俊完成日期: 2008 年 6 月 22 日摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。
整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。
关键词:控制、循环、555定时电路一、设计内容与设计要求1.设计目的⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。
⑵掌握计数、译码、显示综合电路的设计与调试方法。
⑶掌握实际输出电路不同要求的实现方法。
2.设计任务八路彩示系统,该系统实现以下功能:⑴八路彩灯从左向右逐次渐亮,间隔为1秒。
⑵八路彩灯从右向左逐次渐灭,间隔为1秒。
⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。
3.设计要求⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。
⑵选择元器件型号。
⑶画出总逻辑图和装配图,并在实验板上组装电路。
⑷进行电路调试,使其达到设计要求。
⑸写出总结报告。
4.参考器件555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。
二、总体设计思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。
时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。
三、总体设计原理1.基本原理由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR '控制清零。
第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。
8彩灯控制器课程设计
8彩灯控制器课程设计一、课程目标知识与理解:1. 学生能理解8彩灯控制器的基本原理,掌握其电路组成及功能。
2. 学生能描述8彩灯控制器的编程方法,了解与掌握相关编程语言的运用。
3. 学生了解8彩灯控制器在现实生活中的应用,认识到其在科技领域的重要性。
技能与运用:1. 学生能够独立完成8彩灯控制器的组装,并运用所学知识进行调试。
2. 学生能够运用编程语言对8彩灯控制器进行编程,实现不同的灯光效果。
3. 学生能够运用8彩灯控制器解决实际问题,培养创新意识和动手能力。
情感态度与价值观:1. 学生在课程学习中培养对电子科技的兴趣,激发学习热情。
2. 学生通过团队合作,培养沟通、协作能力和集体荣誉感。
3. 学生在创作过程中,体验科技与艺术的结合,提高审美情趣。
课程性质:本课程为电子技术与编程实践课程,注重理论知识与实际操作相结合,培养学生的动手能力、创新意识和实际问题解决能力。
学生特点:学生处于中学阶段,具备一定的电子基础和编程知识,对新鲜事物充满好奇心,喜欢动手实践。
教学要求:教师需引导学生通过理论学习和实践操作,掌握8彩灯控制器相关知识,注重培养学生的实际操作能力和创新精神。
同时,关注学生的情感态度价值观的培养,使学生在课程学习中获得全面发展。
二、教学内容1. 8彩灯控制器基础知识:- 电路原理与组成:介绍8彩灯控制器的电路结构、元件功能及其相互关系。
- 控制器编程语言:学习控制器编程的基础语法,掌握编程方法。
2. 实践操作:- 8彩灯控制器组装:按照电路图完成控制器组装,学习使用相关工具和仪器。
- 灯光编程与调试:运用编程语言,设计不同的灯光效果,并进行调试。
3. 应用与创新:- 实际案例分享:分析8彩灯控制器在现实生活中的应用案例,激发学生创新意识。
- 创意设计实践:指导学生运用所学知识,完成创意8彩灯控制器设计。
教材章节关联:1. 电路原理与组成:对应教材第3章“电子电路基础”。
2. 控制器编程语言:对应教材第5章“编程基础与技巧”。
八路彩灯控制器 课程设计
目录第一章总体设计 (5)1.1设计目的 (5)1.2设计任务与要求 (5)1.3基本工作原理 (5)1.4设计方案 (5)第二章单片机简介 (6)2.1单片机结构 (6)2.2单片机的封装形式、引脚定义及功能 (7)2.3单片机的工作原理 (8)2.4 CPU的工作原理 (8)2.5存储器结构 (9)2.6 CPU时序及时钟电路 (10)2.7复位操作 (12)第三章硬件设计 (14)3.1整体硬件接结构 (14)3.2功能模块电路 (14)3.3系统硬件原理电路图 (16)第四章软件设计 (17)4.1软件总体结构设计 (17)4.2各功能模块设计 (18)总结与心得 (25)参考文献: (26)第一章总体设计1.1设计目的1)了解单片机的基本原理及相关的简单应用。
2)掌握用单片机设计系统的一般步骤。
3)了解LED数码管的基本知识和驱动方法。
4)掌握单片机系统各个组成部分的作用以及分布位置。
5)学会运用单片机的硬件资源。
1.2设计任务与要求8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。
要求:完成以下花形变化:1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。
时间节拍为1秒。
2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。
时间节拍为1秒。
3)循环往复,用LED管模拟彩灯。
4)用汇编语言编程,用proteus仿真。
1.3基本工作原理此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。
由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。
若查到的内容为跳出代码就重新开始循环。
1.4设计方案软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。
硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。
#LED流水灯《八路彩灯控制电路》
《八路彩灯控制电路》课程设计报告专业:电子信息项目班级:2018级2班姓名:XX学号:XXXXXXXX同组成员:XX、XX指导教师:XXX2018年12月28日八路彩灯控制电路目录一、课程设计目的- 2 -二、课程设计描述和要求- 2 -(一>彩灯控制器设计要求- 2 -(二>课程设计的总体要求- 2 -三、课程设计内容- 3 -<一)原理分析- 3 -(二>器件选择- 5 -<三)电路连线- 6 -1.时钟信号电路- 6 -2. 花型控制信号电路- 6 -3.花型演示电路- 7 -4.总体电路图- 9 -5.电路测试- 9 -四、分析与总结- 11 -八路彩灯控制电路一、课程设计目的(一)进一步掌握数字电路课程所学的理论知识。
(二)了解数字电路设计的基本思想和方法,学会科学分析和解决问题。
(三)熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
(四)培养认真严谨的工作作风和实事求是的工作态度。
二、课程设计描述和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。
因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。
(一)彩灯控制器设计要求设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下:1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式;3.<选做内容)闪烁时实现快慢两种节拍的变换。
(二)课程设计的总体要求根据设计任务,每人独立完成一份设计电路图,并要求仿真实.1现;根据设计的电路图,两人一组,利用万能板完成电路的焊接,并.2调试成功;每人独立完成一份设计报告。
3.三、课程设计内容<一)原理分析1. 针对题目设计要求,经过分析与思考,决定以下方案:总体电路共分三大块。
8彩灯控制器课程设计
8彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解并掌握8彩灯控制器的基本原理,包括电路组成、工作流程及功能特点。
2. 学生能描述8彩灯控制器的编程方法,运用相关指令实现不同灯光效果的切换。
技能目标:1. 学生能够独立完成8彩灯控制器的组装和调试,具备实际操作能力。
2. 学生能够运用已学知识,设计出具有创意的8彩灯控制程序,实现个性化灯光效果。
情感态度价值观目标:1. 学生通过学习8彩灯控制器,培养对电子技术和编程的兴趣,激发创新意识和探索精神。
2. 学生在团队合作中,学会相互沟通、协作,培养集体荣誉感和责任感。
课程性质:本课程为电子技术实践课程,注重理论与实践相结合,强调学生的动手能力和创新能力。
学生特点:六年级学生具备一定的电子技术基础,对新事物充满好奇心,喜欢动手实践,但需引导培养团队合作意识。
教学要求:教师应关注学生的个体差异,提供个性化指导,鼓励学生积极参与,充分发挥学生的主观能动性。
同时,注重培养学生的安全意识,确保实践活动顺利进行。
通过本课程的学习,使学生将理论知识与实际操作相结合,提高综合素养。
二、教学内容本课程教学内容主要包括以下几部分:1. 8彩灯控制器原理介绍:讲解控制器的基本组成、工作原理及功能特点,对应教材第3章“智能控制器原理与应用”。
2. 电路组成与连接:学习如何识别并连接8彩灯控制器所需元器件,掌握电路搭建方法,对应教材第4章“电子电路的搭建与调试”。
3. 编程方法与指令:学习8彩灯控制器的编程方法,掌握相关指令,实现灯光效果的切换,对应教材第5章“控制器编程与应用”。
4. 实践操作:分组进行8彩灯控制器的组装、调试与编程,培养实际操作能力,对应教材第6章“实践项目:智能控制器应用”。
5. 创意设计与展示:鼓励学生运用所学知识,设计具有创意的8彩灯控制程序,进行作品展示,对应教材第7章“创新设计与实践”。
教学进度安排如下:1. 第1课时:8彩灯控制器原理介绍,电路组成与连接。
8路彩灯控制器设计详细讲解(附图)
8路彩灯控制器设计详细讲解(附图)学校:北华大学班级:信息 11-2姓名:王杰学号:28号指导教师:曲萍萍实验日期:2013.5.20—5.24一、软件内容二、实习目的和任务三、设计原理过程四、最终设计方案五、实习心得六、参考文献一、软件内容MAX-Plus?开发软件是美国Altera公司自行设计的第三代课编程逻辑器件的EDA开发工具。
它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera公司的MAX、Classic、FLEX以及ACEX系列的PLD器件。
MAX-Plus?开发软件丰富的图形界面和完整的、可即时访问的在线帮助文档,使设计人员能够轻松愉快地学习和掌握MAX-Plus?开发软件,方便地实现设计目的。
MAX-Plus?的特点:1、支持多种操作平台;2、提公开发性的界面;3、提供与PLD 器件结构无关的设计环境;4、提供丰富设计库;5、支持多种输入方式;6、具有高度集成化的开发环境;7、具有模块化的设计工具;8、提供Megacore功能;9、具有Opencore特性MAX-Plus?的主要功能:1、支持PLD器件;2、支持的设计输入方式;3、提供设计编译;4、提供设计验证;5、提供器件的编程和配置MAX-Plus?的设计流程:1、设计输入;2、设计检查;3、设计便衣;4、设计仿真;5、器件编程;6、测试验证;7、修改设计。
二、实习目的和任务实习目的:1、进一步掌握数字电路课程所学的理论知识。
2、熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3、了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4、培养认真严谨的工作作风和实事求是的工作态度。
5、数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。
实习任务:设计一个能实现8路彩灯循环显示的彩灯控制器。
具体要求如下:1、8路彩灯的循环花型如下表所示;2、节拍变化的时间为0.5s和0.25s,两种节拍交替运行;3、三种花型要求自动循环显示移存器输出状态编码表节拍序号花型1 花型2 花型3 1 00000000 00000000 00000000 2 10000000 00011000 10001000 3 11000000 00111100 11001100 4 11100000 01111110 11101110 5 11110000 11111111 11111111 6 11111000 11100111 01110111 7 11111100 11000011 00110011 8 11111110 10000001 00010001 9 11111111 10 11111110 11 11111100 12 1111100013 1111000014 1110000015 1100000016 10000000三、设计原理过程(1)总体方案的设计; 在方案一的基础上将整体电路分为四块。
8路炫光彩灯控制器
炫光彩灯控制器(做一份课设不容易,我就收点文库币,小小慰问一下自己)学院:信息工程学院班级:测控0901班姓名:严海俊学号:200901420同组人:王滨指导老师:2012年11月30日炫光彩灯控制器课程设计一、设计目的1.熟悉常用芯片的性能及用途。
2.进一步掌握数字电路课程所学的理论知识,对所学理论的巩固及验,进一步的学习。
3.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。
4.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
5.培养认真严谨的工作作风和实事求是的工作态度,检验自己实践的能力,解决问题的能力及现有知识基础上的创新, 为日后走上工作摸索经验。
二、设计原理设计一个电路控制彩灯的循环显示,要求八个二极管排成一行,二极管依次亮。
8路炫光彩灯控制电路功能描述:首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏。
即依次流向第八盏灯,然后全部熄灭,反复循环这一过程。
三、方案论证:方案一:原理框图如图1所示。
其中8进制计数电路是利用74LS161N十进制计数器改变而成,通过产生1000的输出信号的状态进行异步置零,完成8进制循环,由一片74LS138芯片进行译码,来输出信号控制彩灯。
其中8进制计数电路是利用74LS161N十进制计数器改变而成,通过产生1000的输出信号的状态进行异步置零,完成8进制循环,由一片74LS138芯片进行译码,来输出信号控制彩灯。
方案二:基本实际思路不变,采用74LS160芯片,但是其输出信号由两片74LS138芯片进行控制,其中一片进行3-8进制译码,另一片控制循环。
本设计采用方案一,只用两片主要芯片74LS161和74LS138,节省资源。
四、电路设计1.多谐振荡器电路采用555定时器,输出一个周期的脉冲。
由多谐振荡器的周期公式T=(R1+2*R2)*Ln2*C可知。
数电课程设计-八路彩灯控制器
湖南工程学院课程设计课程名称电子技术课程设计课题名称彩灯控制器专业电气工程及其自动化班级 1102学号 201101010210姓名郭昕指导教师田莉2013年 12月 27日湖南工程学院课程设计任务书课程名称:电子技术课程设计题目:多功能数字钟电路专业班级:电气1102学生姓名:郭昕学号:201101010210指导老师:田莉审批:田莉任务书下达日期 2013年 12月 16日设计完成日期 2013年 12月 27日目录一课程设计题目(与实习目的) (7)(一)、题目:多路彩灯控制器 (7)(二)、实习目的: (7)二总体方案的选择 (7)(1)总体方案的设计 (7)(2)总体方案的选择 (8)三单元电路的设计 (9)(1)花型演示电路 (9)(2)花型控制信号电路 (13)(3)节拍控制电路 (14)(4)时钟信号电路原理图 (16)四总体电路图(见附页) (18)五电路组装后,实际测量的各个单元电路的输入、输出信号波形18六安装、调试过程 (21)七故障分析与电路改进 (22)(一)、巩固数电知识 (23)(二)、学会用电路板、芯片、导线等组装各种功能的电路; (23)(三)、和同学共同合作、互相学习、共同进步 (24)八总结: (24)九附录(元器件清单): (25)十参考文献。
(25)一课程设计题目(与实习目的)(一)、题目:多路彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。
二总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。
数电课程设计_八路彩灯控制器
湖南工程学院课程设计课程名称电子技术课程设计课题名称彩灯控制器专业电气工程及其自动化班级1102学号0210姓名郭昕指导教师田莉2013年12月27日湖南工程学院课程设计任务书课程名称:电子技术课程设计题目:多功能数字钟电路专业班级:电气1102学生姓名:郭昕学号:0210指导老师:***审批:田莉任务书下达日期2013年12月16日设计完成日期2013年12月27日目录一课程设计题目(与实习目的)错误!未定义书签。
(一)、题目:多路彩灯控制器错误!未定义书签。
(二)、实习目的:错误!未定义书签。
二总体方案的选择错误!未定义书签。
(1)总体方案的设计错误!未定义书签。
(2)总体方案的选择错误!未定义书签。
三单元电路的设计错误!未定义书签。
(1)花型演示电路错误!未定义书签。
(2)花型控制信号电路错误!未定义书签。
(3)节拍控制电路错误!未定义书签。
(4)时钟信号电路原理图错误!未定义书签。
四总体电路图(见附页)错误!未定义书签。
五电路组装后,实际测量的各个单元电路的输入、输出信号波形错误!未定义书签。
六安装、调试过程错误!未定义书签。
七故障分析与电路改进错误!未定义书签。
(一)、巩固数电知识错误!未定义书签。
(二)、学会用电路板、芯片、导线等组装各种功能的电路;错误!未定义书签。
(三)、和同学共同合作、互相学习、共同进步错误!未定义书签。
八总结:错误!未定义书签。
九附录(元器件清单):错误!未定义书签。
十参考文献。
错误!未定义书签。
一课程设计题目(与实习目的)(一)、题目:多路彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。
循环彩灯控制器课程设计8路
数字电路课程设计报告课程名称:循环彩灯控制器设计题目:循环彩灯控制器院(部):机械与电子工程学院专业:学生姓名:学号:班级:日期:指导教师:课程设计任务书目录1、摘要 (4)2、关键字………………………………………………………43、设计背景 (4)3、1 了解数字电路系统得定义及组成 (4)3、2 掌握时钟电路得作用及基本构成 (4)4.设计方案得选择 (5)5.单元电路得设计 (6)5、1 花型控制电路得设计 (6)5、2 花型演示电路得设计 (10)5、3 节拍控制电路得设计……………………………105、4 时钟信号电路得设计……………………………116.总体电路图 (12)7.各个单元电路得输入输出波形 (12)8.电路调试 (15)9.元器件清单………………………………………………1610.分析与总结 (17)11.致谢………………………………………………………1912.参考文献 (19)13、指导教师评语 (20)循环彩灯控制器得设计1、摘要本次循环彩灯得设计制作由时钟信号CP电路、花型控制电路、花型演示电路、节拍控制电路构成得集成电路来实现,其中花型控制电路由1614位二进制同步计数器完成,花型演示电路由195 双向移位寄存器完成(可左移右移完成花型变化),节拍变化由151八选一数据选择器完成,节拍得快慢变化可有74双上升沿D触发器完成,它可实现二分频。
2、关键字循环彩灯、时钟信号CP电路、花型控制电路、花型演示电路、节拍控制电路。
3、设计背景3、1了解数字电路系统得定义及组成数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路与电源等。
输入电路主要作用就是将被控信号转换成数字信号,其形式包括各种输入接口电路。
比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理得数字信号。
模拟信号则需要通过模数转换电路转换成数字信号再进行处理。
在设计输入电路时,必须首先了解输入信号得性质,接口得条件,以设计合适得输入接口电路。
八路彩灯课程设计
餐厅:摆放在餐 桌上,增加用餐 氛围
书房:摆放在书 桌上,营造学习 氛围
商业展示
商场橱窗:展示商品,吸引顾客 展览会:展示企业形象和产品,吸引潜在客户 节日庆典:营造节日氛围,吸引游客 品牌推广:展示品牌形象,提高品牌知名度
舞台灯光
舞台灯光设计:根据舞台表演的需要, 设计出合适的灯光效果
灯光位置:根据舞台表演的需要,调整 灯光的位置和角度
中秋节:赏月,吃月饼,赏桂 花
课程设计总结
设计成果展示
课程目标:培养学生的创新思维和实践能力 课程内容:包括彩灯制作、彩灯设计、彩灯文化等 教学方法:采用项目式教学,注重实践操作 课程评价:学生作品展示,教师点评,学生互评
设计经验总结
课程设计要注重实践操作,让学生在实践中掌握技能 课程设计要注重创新,培养学生的创新能力和实践能力 课程设计要注重团队合作,培养学生的团队协作能力和沟通能力 课程设计要注重评价,通过评价反馈,不断改进课程设计
掌握八路彩灯的软件编程
掌握八路彩灯的软件编程方 法
学会使用八路彩灯进行创意 设计
学习八路彩灯的基本原理和 结构
提高学生的创新能力和实践 能力
掌握八路彩灯的应用场景
节日庆典: 如春节、 元宵节等
商业活动: 如商场、 酒店等
旅游景点: 如古镇、 公园等
家庭装饰: 如客厅、 卧室等
学校教育: 如手工课、 美术课等
课程发展:结合市场需求,不 断更新课程内容,提高学生的 就业竞争力
THANK YOU
汇报人:
测试程序功能
功能测试:验证程序是否符合设计需求 性能测试:评估程序在特定环境下的性能表现 兼容性测试:检查程序在不同硬件、软件环境下的兼容性 安全性测试:确保程序在运行过程中不会受到恶意攻击或数据泄露
8路彩灯控制
实验一 8路彩灯控制器实验目的:(1)学会各种8路彩灯控制器的设计方法(2)学会VHDL的多进程及多层次设计方法实验原理:8路彩灯的三钟花形控制器。
能控制8路彩灯按两种节拍、三种花形循环变化。
两种节拍分别为0.25s和0.5s。
三种花形分别为:(1)8路彩灯从左至右按次序渐亮,全亮后后逆次序渐灭。
(2)从中间到两边对称的渐亮,全亮后逆次序渐灭。
(3)8路彩灯分成两半,从左至右顺次渐亮,全亮后则全灭。
两种节拍的交替只需要将4Hz的时钟脉冲二分频,得到一个2Hz的时钟脉冲,让这两种时钟脉冲交替来控制三种花形循环变化即可设计思想体现在图一所示的顶层原理图中。
FEN2是一个二分频器,MUX21是二选一多路选择器,CD是8路彩灯的三种花形控制器。
实验内容:(1)在MAX+plusII中用VHDL语言输入FEN2 、MUX21、CD源程序,保存名字与实体名一致,后缀为vhd,选择目标器件为EP1K30TC144-3,然后进行编译、仿真,.(2)选实验电路结构图为NO.1,8路彩灯依次接PIO32~PIO39,clk 可接CLOCK0~4中的任一个,查表进行引脚锁定。
参考程序:1. 8路彩灯的三种花形控制模块CDLIBRARY IEEE;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cd ISPORT(clk:IN STD_LOGIC;jp:OUT STD_LOGIC;qq:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END cd;ARCHITECTURE behav OF cd ISCONSTANT w:INTEGER:=7;SIGNAL q:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(clk)VARIABLE flag:BIT_VECTOR(2 DOWNTO 0):="000"; VARIABLE jp1:STD_LOGIC:='0';BEGINIF clk 'EVENT AND clk='1' THENIF flag="0000" THENq<='1'&q(w DOWNTO 1);IF q(1)='1' THENflag:="001";END IF;ELSIF flag="001" THENq<=q(w-1 DOWNTO 0)&'0';IF q(6)='0' THENflag:="010";END IF;ELSIF flag="010" THENq(w DOWNTO 4)<=q(w-1 DOWNTO 4)&'1';q(w-4 DOWNTO 0)<='1'&q(w-4 DOWNTO 1); IF q(1)='1' THENflag:="011";END IF;ELSIF flag="011" THENq(w DOWNTO 4)<=q(w-1 DOWNTO 4)&'0'; q(w-4 DOWNTO 0)<='0'&q(w-4 DOWNTO 1); IF q(1)='0' THENflag:="100";END IF;ELSIF flag="100" THENq(w DOWNTO 4)<='1'&q(w DOWNTO 5);q(w-4 DOWNTO 0)<='1'&q(w-4 DOWNTO 1); IF q(1)='1' THENflag:="101";END IF;ELSIF flag="101" THENq<="00000000";jp1:=NOT jp1 ;flag:="000";END IF;END IF;qq<=q;jp<=jp1;END PROCESS;END behav;仿真波形图2. 二选一多路选择器模块MUX21 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux21 ISPORT(a,b,s:IN STD_LOGIC;y:OUT STD_LOGIC); END mux21;ARCHITECTURE ar OF mux21 IS BEGINPROCESS(a,b,s)BEGINIF s='0'THENy<=a;ELSEy<=b;END IF;END PROCESS;END ar;仿真波形图3. 二分频模块FEN2LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY fen2 ISPORT(clk:IN STD_LOGIC;clkk:OUT STD_LOGIC);END fen2;ARCHITECTURE behav OF fen2 IS BEGINPROCESS(clk)VARIABLE clkk1:STD_LOGIC:='0'; BEGINIF clk 'EVENT AND clk='1' THEN clkk1:=NOT clkk1;END IF;clkk<=clkk1;END PROCESS;END behav;仿真波形图顶层仿真波形:实验结果:8路彩灯按两种节拍、三种花形循环变化。
8路彩灯控制器课程设计
8路彩灯控制器课程设计1. 引言8路彩灯控制器是一种用于控制多个彩灯的设备,可以实现对彩灯的亮度、颜色、闪烁等功能进行调节。
本课程设计旨在通过设计和实现一个基于Arduino的8路彩灯控制器系统,让学生了解并掌握彩灯控制器的原理和应用。
本文将从以下几个方面进行详细介绍:系统设计概述、硬件设计、软件设计、系统测试与调试以及课程教学建议。
2. 系统设计概述本系统采用Arduino作为主控芯片,通过与8个彩灯模块的连接,实现对彩灯的控制。
系统具有以下特点:•支持多种亮度和颜色调节方式,如PWM调光和RGB颜色混合。
•支持闪烁效果的设置和控制。
•可以通过串口或无线通信进行远程控制。
3. 硬件设计3.1 硬件组成本系统的硬件组成如下:•Arduino UNO开发板:作为主控芯片,负责接收指令并控制彩灯。
•彩灯模块:共8个,每个模块包含一个彩灯和相应的控制电路。
•电源模块:用于为Arduino和彩灯模块提供电源。
3.2 连接方式将Arduino与彩灯模块连接如下:•将8个彩灯模块的控制引脚分别连接到Arduino的数字IO口。
•将彩灯模块的电源引脚连接到电源模块的输出端。
•将Arduino的GND引脚与电源模块的GND引脚相连。
4. 软件设计4.1 控制逻辑系统的控制逻辑如下:1.初始化系统,设置各个IO口的功能和初始化默认参数。
2.进入主循环,等待指令。
3.接收指令并解析,根据指令类型执行相应操作。
4.执行完毕后返回主循环。
4.2 主要功能实现本系统的主要功能包括:•彩灯亮度调节:通过PWM信号控制彩灯亮度,可以实现从全亮到全暗的无级调光效果。
•彩灯颜色调节:通过RGB三基色混合,可以实现多种颜色的选择和调节。
•彩灯闪烁效果:通过控制彩灯的开关状态和亮度,可以实现闪烁效果。
4.3 程序框架系统的程序框架如下:void setup() {// 初始化系统}void loop() {// 等待指令// 解析指令// 执行操作}5. 系统测试与调试在完成硬件和软件设计后,需要进行系统测试与调试,以确保系统功能正常。
8路plc彩灯控制课程设计
8路plc彩灯控制课程设计一、课程目标知识目标:1. 学生能理解8路PLC彩灯控制的基本原理,掌握PLC编程的基本指令;2. 学生能描述8路PLC彩灯控制系统的电路连接方式,了解各元件的功能;3. 学生了解自动化控制系统中PLC的应用,掌握相关术语和概念。
技能目标:1. 学生能够运用PLC编程软件进行8路彩灯控制程序的编写和调试;2. 学生能够根据实际需求设计简单的8路PLC彩灯控制方案;3. 学生能够通过小组合作,解决实际操作过程中遇到的问题。
情感态度价值观目标:1. 学生培养对自动化控制技术的兴趣,激发创新意识;2. 学生在团队协作中,学会相互尊重、沟通和解决问题,增强合作意识;3. 学生认识到科技发展对社会进步的重要性,树立正确的价值观。
课程性质:本课程为实践性较强的课程,结合理论知识和实际操作,培养学生对PLC控制技术的应用能力。
学生特点:八年级学生对电子技术有一定的基础,好奇心强,喜欢动手操作,但需引导他们掌握正确的学习方法。
教学要求:注重理论与实践相结合,充分调动学生的积极性,引导他们主动探索,提高解决问题的能力。
在教学过程中,关注学生的个体差异,因材施教,确保每个学生都能达到课程目标。
通过课程目标的分解,使学生在学习过程中获得具体的学习成果,为后续教学设计和评估提供依据。
二、教学内容本章节教学内容主要包括以下三个方面:1. PLC基础知识:- PLC的基本结构、工作原理和性能特点;- PLC编程软件的使用方法,基本指令的学习;- 介绍与8路彩灯控制相关的基础知识,如输入输出接口、继电器等。
2. 8路PLC彩灯控制电路设计:- 电路原理图的绘制,电路连接方法;- PLC与各元件的接线方式,如电源、输入输出端口等;- 介绍教材中相关章节内容,结合实际案例进行分析。
3. PLC编程与调试:- 编写8路彩灯控制程序,学习梯形图编程方法;- 调试程序,观察并解决实际问题;- 教学大纲中明确各阶段的学习内容和进度,确保学生循序渐进地掌握知识。
8路彩灯控制器课程设计
8路彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解8路彩灯控制器的基本原理,掌握相关电子元件的功能和连接方式。
2. 学生能描述8路彩灯控制器的电路图,并解释其工作原理。
3. 学生了解8路彩灯控制器在现实生活中的应用,并能够举例说明。
技能目标:1. 学生能够正确使用工具和仪器进行8路彩灯控制器的组装和调试。
2. 学生通过动手实践,掌握基本的电路故障排查和解决问题的方法。
3. 学生能够运用所学知识,设计简单的8路彩灯控制程序,实现不同的灯光效果。
情感态度价值观目标:1. 培养学生对电子制作和编程的兴趣,激发创新意识和探索精神。
2. 培养学生团队协作意识,学会与他人共同解决问题,提高沟通与表达能力。
3. 增强学生对科技与生活的联系的认识,培养环保意识和责任感。
课程性质分析:本课程属于电子技术与应用领域,结合实际操作,注重培养学生的动手能力、创新思维和实际应用能力。
学生特点分析:初中年级的学生对新鲜事物充满好奇心,动手能力强,但理论知识相对薄弱,需要通过实践操作来加深理解。
教学要求:1. 理论与实践相结合,注重培养学生的实际操作能力。
2. 教学过程中注重启发式教学,引导学生主动探究、发现问题、解决问题。
3. 关注学生的个体差异,提供个性化的辅导,使每个学生都能在课程中收获成长。
二、教学内容1. 电子元件认知:介绍常用电子元件如电阻、电容、二极管、三极管等,结合教材相关章节,让学生了解其功能及在8路彩灯控制器中的作用。
2. 电路原理:分析8路彩灯控制器的电路图,讲解各部分电路的功能及相互关系,对应教材中电路分析的内容。
3. 组装与调试:指导学生按照电路图组装8路彩灯控制器,学习焊接、接线等基本技能,参照教材相关章节进行实践操作。
4. 编程与控制:介绍简单的编程方法,使学生能够通过编程实现不同的灯光效果,结合教材中编程与控制部分的内容进行教学。
5. 故障排查:教授学生如何分析并解决8路彩灯控制器在运行过程中可能出现的故障,运用教材中故障排查技巧进行实践。
循环彩灯控制器课程设计8路
数字电路课程设计报告课程名称:循环彩灯控制器设计题目:循环彩灯控制器院(部):机械与电子工程学院专业:学生姓名:学号:班级:日期:指导教师:课程设计任务书目录1、摘要 (4)2、关键字 (4)3.设计背景……………………………………………………43、1 了解数字电路系统得定义及组成…………………43.2 掌握时钟电路得作用及基本构成…………………44.设计方案得选择 (5)5.单元电路得设计……………………………………………65。
1 花型控制电路得设计………………………………65、2 花型演示电路得设计……………………………105。
3 节拍控制电路得设计……………………………105.4 时钟信号电路得设计 (11)6.总体电路图………………………………………………127.各个单元电路得输入输出波形 (12)8.电路调试 (15)9.元器件清单………………………………………………1610.分析与总结 (17)11.致谢 (19)12.参考文献 (1)913、指导教师评语 (20)循环彩灯控制器得设计1.摘要本次循环彩灯得设计制作由时钟信号CP电路、花型控制电路、花型演示电路、节拍控制电路构成得集成电路来实现,其中花型控制电路由1614位二进制同步计数器完成,花型演示电路由195双向移位寄存器完成(可左移右移完成花型变化),节拍变化由151八选一数据选择器完成,节拍得快慢变化可有74双上升沿D触发器完成,它可实现二分频。
2。
关键字循环彩灯、时钟信号CP电路、花型控制电路、花型演示电路、节拍控制电路。
3.设计背景3、1了解数字电路系统得定义及组成数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路与电源等、输入电路主要作用就是将被控信号转换成数字信号,其形式包括各种输入接口电路。
比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理得数字信号、模拟信号则需要通过模数转换电路转换成数字信号再进行处理。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
8路彩灯控制器8路彩灯控制器一课程设计题目(与实习目的)(1)题目:多路彩灯控制器(2)实习目的:1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。
二任务和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。
因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。
(1)彩灯控制器设计要求设计一个8路移存型彩灯控制器,要求:1. 彩灯实现快慢两种节拍的变换;2. 8路彩灯能演示三种花型(花型自拟);3. 彩灯用发光二极管LED模拟;4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。
(2)课程设计的总体要求1.设计电路实现题目要求;2.电路在功能相当的情况下设计越简单越好;3. 注意布线,要直角连接,选最短路径,不要相互交叉;4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。
三总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。
第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。
主体框图如下:方案二:在方案一的基础上将整体电路分为四块。
第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。
并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。
主体框图如下:(2)总体方案的选择方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。
这样设计,其优点在于:设计思想比较简单。
元件种类使用少,且都较熟悉易于组装电路。
缺点则是:中间单元电路连线过于繁多,容易出错。
且可能出现线与关系。
要避免这些,则势必造成门电路使用过多。
导致电路不稳定,抗干扰能力下降。
而后者则将以上两种功能分开设计,各单元电路只实现一种功能。
其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。
缺点则是:节拍控制电路采用可编辑逻辑电路,原理相对复杂,不易理解。
花型控制电路简单,花型也比较简单。
基于以上原因,加上为了确保短时间内完成课程设计,我选择了连线少,易于组装和调试的方案二。
四单元电路的设计1.设计所使用的元件及工具:74LS161(四位二进制同步计数器) ---------------------- 2个;74LS194(移位寄存器) ------------------------------ 2个;74LS151(八选一数据选择器) --------------------------- 1个;74LS74(双D 触发器) ---------------------------------- 1个;74LS20(双四输入与非门) ---------------------------- 3个;74LS04(六非门) ------------------------------------ 2个;发光二极管--------------------------------------------- 8个;555 ----------------------------------------------- 1个;电容: 4.7μf ----------------------------------------------1个;0.01μf ---------------------------------------------1个;电阻:150kΩ ------------------------------------------------------------ 1个;100Ω ----------------------------------------------1个;4.7kΩ -----------------------------------------1个;实验板一个;万用表一个;钳子一个;导线若干。
2.各个单元电路(1)花型演示电路由二片移位寄存器194级联实现。
其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。
三种花型变换样式花型1:8路灯分两半。
从左至右渐亮,全亮后,再分两半从左至右渐灭。
循环两次;花型2:从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭。
循环两次;花型3:从左至右顺次渐亮。
全亮后逆序渐灭。
循环两次。
移存器输出状态编码表我的设计是每种花型完整显示两遍,所以三种花型完全显示一遍需要的总结拍数为64,即1~16显示第一个花型,17~32显示第二个花型,33~64显示第三个花型。
要用194实现三个花型的连续显示必须对两片194的S1、S0和SL、SR一句节拍的变化进行相应的改变。
现将两片194分为低位片1和高位片2,再将其输出端从低位到高位记为L1~L8。
列出各花型和其对应的194的S1、S0、SL、SR的输入信号及节拍控制信号列表如下:(用^Li表示Li的取非)经过分析可以得到控制194高低位片的左移右移变化的控制量。
用QA~QH表示161从低位到高位的个输出端。
控制结果表达式如下:电路图如下:(2)花型控制信号电路由二片161级联的模128(三种花型节拍每种显示两遍,再总体重复一遍的总节拍数)计数器。
161的级联用的是同步,并用^QH清零。
当三种花型全新显示一遍后(总共64拍)161的输出变为00000100所以将161高位片的Q2(即QG)信号输给节拍控制电路的151的A来通过节拍控制电路改变第二遍花型显示的频率。
161的CP脉冲来自节拍控制电路中74的输出端Y。
电路图如下:(3)节拍控制电路由一片151和一片74级联实现。
整体上实现脉冲频率的变换,即交替产生快慢节拍。
令74的Vcc,CLR,PR都接高电平,将^Q的输出接到D端,Q端的输出接到151的D1端。
令151的D0,D2,D3,D4,D5,D6,D7,B,C,G’,GND接低电平,Vcc 接高电平,D0接时钟信号的CP脉冲,A端接由花型控制电路的QG输出。
所以Y端的输出就为:Y=C P·^A+Q·A(Q是74D触发器的输出端)由D触发器具有记忆功能,记录上一个状态,所以在每一个CP脉冲的上升沿,Q输出为上一次的记录(即一个脉冲)。
也就比时钟信号电路的CP脉冲慢了一拍。
所以通过A为0或1选择Y端输出的脉冲的频率。
A端接的是161的高位片的QG即当到达第64拍时QG为1接下来的65~128拍为变慢后的脉冲输出。
电路图如下:(4)时钟信号电路由一片555加上适当电容及电阻实现。
电容取:4.7μf 0.01μf电阻取:150 kΩ 4.7 kΩ电路图如下:五总体电路图(见附页)六电路组装完成后,实际测量的各个单元电路的输入、输出信号波形1.基本CP脉冲产生电路波形图与分频电路波形图2. 测试波形: (列依次为CP脉冲,低位片194A,B,C,D,高位片194A,B,C,D)花型一:花型二:花型三:七电路组装、调试过程中发生的问题及解决的方法。
我的数字电路课程设计总共用了4天完成的,这4天每一天都有所收获,都有所进步。
起初想预习但不知道都该看些什么也不知道从何看起,因为对自己要设计的东西一点思路都没有。
所以第一天去了只知道要用到CP脉冲产生电路,因为书上有完好的电路图直接照着连就OK。
可是问题并不是想的那么简单,因为我一开始操作就不知道怎样布线才合理,常出现看着电路图不知道这条线该走哪儿连过去,看过老师的示范后,对老师的布线法真是无限敬佩,聪明呀。
所以当然要学习了,于是自己也那样连了。
连完时钟电路后,满以为会很成功,因为我的电路连得很简洁。
结果是加电后LED二极管居然常亮,检查电路没什么问题,我开始不知所措,于是去咨询其他同学,发现好多同学都有和我一样的问题,有同学说那可能是线的而问题,也可能是板子的问题,也可能是电容的正负极插反了…不管是什么问题,一个个排除吧。
最终其实也没很确切的知道问题的所在,因为不同的方法都在试具体是哪个也不确定了。
我觉得最可能是电容的正负极和LED的插稳与否的问题。
第二天去我拿了个数码管来测试,结果连好了的电路加电测试结果却完全是错误的显示,我检测了电路测试了电位,都正确。
老师过来看时让我加电他看看,结果居然是我给的电压太高了,我在加电是不小心把电压调的很高,自己还没在意,幸好没把芯片很板子烧坏。
在接下来的几天中我看了一些参考书籍,大概有了自己的思路,于是开始一一试验,我用了<<数字系统设计——数字电路课程设计指南>> (北京邮电学院出版社高书莉编)所提供的三种花型,所以刚开始的几天就一直在想怎样将三种花型衔接起来,前两天是一直都没相处具体的解决方法。
后来我就不停地分析花型的变化与161加法器数值的增加两者之间的规律。
我发现自己好像有点太急于求成首先就把问题带到了最不容易解决的地方,于是我改变了思路开始不复杂的先简单化,三个花型的演示先不管,一个花型弄好再说。
说行动立马行动,先连好了时钟信号电路再连了161的级联电路,先用清零端制1同步CP脉冲,还连了194的级联电路直接给低位片和高位片都加右移信号。
先测试了161的工作状态是否正常,正常后又测试了194 的移位寄存功能,都正常。
说明芯片和板子都很正常。
将下来的一天我又通过分析找到了前两种花型通过节拍控制其变化的方式,于是按照自己的设计连了电路图,测试过电压后,加电测试。
结果完全正确,那一刻真挺欣慰,觉得这也是一件挺开心的事情。
接下来的问题就是如何把第三种花型也加进去。
因为我选的第三种花型稍有点复杂,因为两个194是同步级联的但在花型显示中看到是似乎是第一个先工作等到前4个灯都亮后高位片的194才开始工作,所以考虑将它怎样加入费了我好长时间。
问题的关键还是在如何用最少的门电路将其加入。
最后的设计我用了12个芯片,应该是很多了,可是依据我设计的花型,也只能得到这样的设计,到目前为止我也只找到这样的最简连接方案。
其实在电路组装过程中,遇到的最大问题是,芯片分布不够合理,无法很好的布线。
于是在分析了我的设计后计算了要用芯片的个数和个芯片之间的关系,按照各个控制电路的走向较合理的插好了芯片。
其次就是布线,因为要求不准交叉,且横平竖直,所以在保证连通的情况下,在布线上也下了不少工夫,虽然布线的成绩不高只得到B+,但我想我是用心考虑过的,而且尽力做到我认为很合理的布线,也就是用线的颜色有点混乱,因为用了很多从之前面包板上拆下来的旧线,所以整个板子看起来有点杂乱。