EDA实验指导

合集下载

EDA实验指导书_2

EDA实验指导书_2

EDA 技术与VHDL实验指导书通信实验室编制2012年9月实验一组合电路的设计 (3)实验二时序电路的设计.................................错误!未定义书签。

实验三8位全加器的设计................................错误!未定义书签。

实验四含异步清零和同步时钟使能的加法计数器的设计错误!未定义书签。

实验五十六进制七段数码显示译码器设计.错误!未定义书签。

实验六数控分频器的设计.............................错误!未定义书签。

实验七序列检测器的设计.............................错误!未定义书签。

实训一组合电路的设计一、实验目的熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容1: 首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤, 给出仿真波形。

最后在实验系统上进行硬件测试, 验证本项设计的功能。

2:将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述一个双2选1多路选择器, 并将此文件放在同一目录中。

三、实验仪器ZY11EDA13BE型实验箱通用编程模块, 配置模块, 开关按键模块, LED显示模块。

四、实验原理1.2选1多路选择器的VHDL源代码ENTITY mux21a ISPORT ( a, b, s: IN BIT;y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s = '0' THEN y <= a ; ELSE y <= b ;END IF;END PROCESS;END ARCHITECTURE one ;下图为本例2选1多路选择器的仿真图形2.双2选1多路选择器以下是部分参考程序:...COMPONENT MUX21APORT ( a, b, s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;...u1 : MUX21A PORT MAP(a=>a2, b=>a3, s=>s0, y=>tmp);u2 : MUX21A PORT MAP(a=>a1, b=>tmp, s=>s1, y=>outy);END ARCHITECTURE BHV ;五、实验报告:根据以上的实验内容写出实验报告, 包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

EDA实验指导书

EDA实验指导书

实验一 MAX+PLUSII软件的使用[实验目的] 掌握MAX+PLUSII软件的使用。

[实验内容] 学习MAX+PLUSII软件的设计操作步骤。

[实验原理] MAX+PLUSII软件介绍。

MAX+PLUSII软件功能简介:1 原理图输入(Graphic Editor)MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。

2 硬件描述语言输入(Text Editor)MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。

3 波形编辑器(waveform Editor)在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。

4 编译与仿真当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。

5 器件编程当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。

[实验步骤]设计过程如下:1)输入项目文件名(File/Project/Name)2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式)(Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor)3)指定CPLD型号(Assign/Device)4)设置管脚、下载方式和逻辑综合的方式(Assign/Global Project Device Option,Assign/Global Logic Synthesis)5)保存并检查源文件(File/project/Save & Check)6)指定管脚(Max+plusⅡ/Floorplan Editor)7)保存和编译源文件(File/project/Save & Compile)8)生成波形文件(Max+plusⅡ/Waveform Editor)9)仿真(Max+plusⅡ/Simulator)10)下载配置(Max+plusⅡ/Programmer)[实验报告要求]不做要求。

电子设计自动化(eda)实验指导书

电子设计自动化(eda)实验指导书

电子设计自动化(EDA)实验指导书前言近些年来,电子设计自动化(EDA)技术发展迅速。

一方面,各种大容量、高性能、低功耗的可编程逻辑器件不断推出,使得专用集成电路(ASIC)的生产商感受到空前的竞争压力。

另一方面,出现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路的设计效率,使更低成本、更短周期的复杂数字系统开发成为可能。

于是一场ASIC与FPGA/CPLD之争在所难免。

然而PLD器件具有先天的竞争优势,那就是可以反复编程,在线调试。

EDA技术正是这场较量的推动引擎之一。

一般来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。

设计者只需编写硬件描述语言代码,然后选择目标器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。

整个过程,大部分工作由EDA软件完成。

全球许多著名的可编程器件提供商都推出了自己的集成开发工具软件,如Altera 公司的MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司的Foundation 、ISE软件,Lattice公司的ispExpert软件,Actel公司的Libero 软件等。

这些软件的推出,极大地促进了集算法设计、芯片编程、电路板设计于一体的EDA技术的发展。

另外,在以SOC芯片为目标器件的电子系统设计要求下,可编程器件的内部开始集成高速的处理器硬核、处理器软核、DSP模块、大量的存储资源、高速的串行收发模块、系统时钟管理器、多标准的I/O接口模块,亦使得设计者更加得心应手,新一轮的数字革命由此引发。

EDA技术是一门实践性很强的学科,要培养出具有竞争力的一流IC设计人才,动手能力是关键。

只有通过理论学习,加上现场实验,在使用软件编程加硬件调试的过程中真正获得锻炼,增长技能。

ZY11EDA13BE型实验系统采用主板加适配板加扩展板的灵活结构,可方便进行基于不同PLD芯片的实验开发,并易于升级,符合当前高校在此方面对人才培养的要求。

EDA实验指导书(已改)

EDA实验指导书(已改)

10-11学年第二学期《EDA实验指导书》(试用)学时:12班级:机电811、812指导教师:孙立香盐城纺织职业技术学院机电系电子教研室目录实验一 Protel 99 SE 启动、建库、文件环境参数设置 (3)实验二熟悉元器件库,编辑简单原理图 (5)实验三电路原理图设计 (6)实验四原理图元件制作 (9)实验五 PCB 设计基础 (11)实验六 PCB 图元件制作 (12)附加印制电路板设计 (14)实验一 Protel 99 SE 启动、建库、文件环境参数设置一、实验目的1 .熟悉 scH 99 的基本界面。

2 .学会文件环境参数设置。

二、实验内容1. 启动 Protel99SE ,建立名为 MYPRO 的文件夹,并在文件夹中建立名为MYFIRST 的设计数据库文件。

提示:单击桌面上的 Protel99SE 快捷图标,进入 Protel99SE 设计环境。

若环境中已经有设计数据库文件,就执行菜单 File/Close Design 将其关闭。

若环境中没有设计数据库文件,就执行菜单 File/New ,在弹出的窗口中,单击Browse 按钮,在弹出的文件名输入窗口中建立 MYPRO 的文件夹,并在文件夹中建立 MYFIRST 的设计文件。

2. 在练习 1 的基础上,建立名为 FIRSCH 的原理图文件,并进入原理图设计窗口。

提示:执行 File/New 菜单,在弹出的窗口中选择 Schematic Document 图标。

3. 设置原理图的图纸尺寸为 A0 ,去掉可视栅格,去掉标题栏。

提示:在原理图设计环境中,执行菜单 Design/Options ,在弹出的窗口中选择 Sheet Options 页面,在页面右上角的 Standard Styles 下拉框中选择A0 。

4. 把光标设置成大十字,并把光标移动到图纸边沿时的移动速度设置为 Auto Pan Recenter 。

提示:设置光标:在原理图设计环境中,执行菜单 Tools/Preferences ,在弹出的窗口中选择 Graphical Editing 页面,然后在该页面 Cursor/Grid Options 区域的 Cursor Type 下拉列表框中选择 Large Cursor 90 。

EDA实验指导书(最新)

EDA实验指导书(最新)

EDA技术实验指导书吕常智尹唱唱卫阿盈山东科技大学信息与电气工程学院EDA实验室使用说明本实验指导书适用于EDA技术、VHDL语言及电子设计自动化等相关课程使用。

它们都属于实践性很强的软件应用类课程,主要包含电子线路设计与仿真(EWB,MuiltSim等)、电子线路原理图与印刷电路板的设计与绘制(Protel99SE、PowerPCB等)、可编程逻辑器件CPLD/FPGA、VHDL语言及其应用设计(MAX+PlusII/QuartusII)等相关内容,涉及到电子信息、通信工程、电气技术、自动化、生物医学等所有电气信息类专业。

基于以上原因,在设计本实验指导书时考虑到各专业和课程的需要,安排了有关EWB的实验一个,Protel99SE的实验两个,基于CPLD/FPGA的VHDL语言的实验十七个。

二十个实验中包含验证性实验9个、设计性实验2个、综合性实验9个(具体请查看试验大纲)。

实验的设计强调了提高学生的实践动手能力和创新设计能力,实验时,课程教师可以根据专业和课程的需要进行选择。

由于所有试验都是基于软件开发平台的上机操作完成,实现设计和运行的方法较多,因此本指导书没有固定实验步骤和规定试验结果记录方式,这些在书写报告时由实验者自己拟定。

另外,本实验指导书基于EDA 实验系统GW48-PK3编排,有关试验系统的默认设置及相关资料请参考附件1,并要求学生实验时一定注意预览。

当然,由于涉及的知识面比较广,时间紧迫,水平也比较有限,实验的编排不一定非常合理,书中也难免有误,不当之处敬请课程教师、实验指导教师及实验指导书使用者批评指正。

目录试验一EWB设计应用 (1)试验二Protel99SE 原理图设计 (4)试验三Protel99SE 印刷板设计 (5)试验四Max+plusII/QuartusII软件应用 (7)试验五VHDL软件设计 (8)试验六3-8译码器 (9)试验七组合逻辑电路的VHDL描述 (10)试验八触发器的VHDL描述 (11)试验九扫描显示驱动电路 (13)试验十VHDL硬件设计 (15)试验十一数字钟 (18)试验十二字符发生器 (19)试验十三模拟信号检测 (20)试验十四函数信号发生器 (22)试验十五VHDL硬件设计 (23)试验十六序列检测器设计 (27)试验十七嵌入式锁相环PLL的应用实验 (28)试验十八信号采集与频谱分析 (30)试验十九循环冗余校验CRC模块设计 (32)试验二十USB与FPGA通信实验 (33)附录1 GW48 EDA/SOPC主系统使用说明 (35)实验一EWB设计应用一、实验目的1、熟悉EWB的使用环境和EWB使用一般步骤。

EDA实验指导书全

EDA实验指导书全

实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。

2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。

步骤一:1、建立工程,设计输入。

选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。

Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。

不作任何选择。

4、完成设置点击“Next”后,完成工程的设定,点击“finish”。

步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。

VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。

EDA实验指导书

EDA实验指导书

EDA 实验指导书2011年9月30日目录1.实验一LED实验(验证性实验)2.实验二LED点阵实验(综合性实验)3.实验三 LCD显示实验(设计性实验)实验一:LED实验一、实验目的1. 熟悉ISE8.2开发环境,掌握工程的生成方法;2. 熟悉SEED-XDTK_V4实验环境;二、实验内容1. 创建工程;2. 添加HDL资源文件;3. 配置一个应用程序完成设计。

三、实验准备1. 通过USB口下载电缆将计算机的USB口及SEED-FEM025板的J9 连接好;2. 启动计算机,打开SEED-XDTK_V4实验箱电源开关。

观察SEED-FEM025板上的+5V(D11)的电源指示灯是否均亮。

若有不亮的,请断开电源,检查电源。

四、实验步骤1. 创建工程1) 双击桌面Xilinx ISE8.2快捷方式打开ISE工程管理器(Project Navigator);2) 打开Project Navigator后,选择File → New Project,弹出新建工程对话框;3) 在工程路径中单击“…”按钮,将工程指定到如下目录D: \02. V4_lab,单击确定;4) 在工程名称中输入led,点击Next按钮,如图1.1所示;图1.15) 弹出器件特性对话框。

器件族类型(Device Family)选择“Virtex4”,器件型号(Device)选“XC4VSX25 FF668 -10”,综合工具(Synthesis T ool)选“XST(VHDL/Verilog)”,仿真器(Simulator)选“ISE Simulator”,如图1.2;图1.26) 单击Next按钮,弹出创建新资源(Create New Soure)对话框,可以使用这个对话框来创建新的HDL资源文件,或者也可以创建工程后,新建HDL资源文件,如图1.3;图1.37) 单击Next按钮,弹出添加存在资源对话框;可以使用这个对话框来添加已经存在的HDL资源文件,或者也可以创建工程后,添加HDL资源文件;在本实验中我们采用创建工程后,添加HDL资源文件的方式,如图1.4;图1.48) 单击Next按钮,将弹出工程建立完成对话框,单击finish按钮完成工程建立流程;9) 观察工程资源管理窗口,将会看到工程已经建立成功,如图1.5。

EDA 实验指导书

EDA  实验指导书

EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。

三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。

它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。

因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。

传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。

而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。

3(一)Max+plusⅡ10.0的使用。

1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。

第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。

EDA技术实验指导书

EDA技术实验指导书

《EDA技术》实验指导书面向专业:通信工程信息工程自动化电子信息工程电气工程及其自动化信息与通信工程学院2016年9月前言一、课程性质本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。

通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。

二、专业安排本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。

三、本书特点本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。

目录前言 (I)第一章实验系统 (1)1.1 系统整体结构 (1)1.2 核心板 (1)1.3 基础扩展模块 (2)1.4 自动控制模块 (3)1.5 信号处理模块 (3)1.6 通信接口模块 (4)第二章开发平台简介 (5)2.1 Quartus II简介 (5)2.2 Quartus II开发流程 (5)第三章实验项目 (9)实验1 平台应用及全加器设计 (9)实验2 信号发生器设计 (11)实验3 数字电压表设计 (13)实验4 数字频率计设计 (16)实验5 交通灯控制器设计 (19)第一章实验系统1.1 系统整体结构本实验指导书采用的EDA综合实验开发系统是我院电子信息与通信技术实验教学中心自主研制,整体结构如图所示。

1.2 核心板核心板采用Altera公司的EP4CE22E22C8N芯片,具有低内核电压、低功耗的特点。

芯片内部具有22320个逻辑单元,594kbit RAM嵌入式储存器,66个嵌入式18×18乘法器,4组通用PLL。

1、DIP开关主要功能是控制高低电平,通过手动控制为系统提供稳定的逻辑信号。

系统总共提供了3位拨档开关,当开关的档位在上方时则输出高电平“1”,反之则为低电平“0”。

EDA实验_实验内容安排

EDA实验_实验内容安排

一、实验内容实验1(基本门,组合电路)•《实验指导书》实验2-1:按顺序完成00,02,04,08,32,86芯片•《实验指导书》实验2-3:按顺序完成148,138,153,85实验2(组合电路,门电路综合)•《实验指导书》实验2.3(283,4511),4511芯片在核心板上面,a-g脚已接好。

•《实验指导书》实验2.2(门电路综合实验)•做完还可以做:实验书p50的8选1选择器,课本例2-6,2-7(p77)实验3(MOde1Sim+自行编写代码)参考课本425mode1sim的使用,自行编写“符合电路”逻辑的代码和测试平台,并进行仿真。

提早完成的可以尝试不同的方法实现,或继续编写“裁判电路”和“交通灯错误检测电路”。

下课前抄到纸张上交上来,写明进行到哪一步,如代码编写/测试平台编写/正仿真(未通过)/仿真已通过。

实验4(综合实例5.6照搬)按照《教材》5.6,完整实现一次,要求烧录并接线进行实际测试实验5(简单芯片设计与验证)•按照《教材》5.6的完整流程,实现74芯片的设计,要求在实验箱上接线测试。

在纸上写出你通过测试的程序代码,及测试平台代码。

标明你做到哪一步(第几次仿真/综合/烧录/实际测试中/测试是否通过等)。

•提前做完的,可继续做检奇电路(4个输入信号中,高电平个数为奇数时,输出高电平,否则输出低电平)。

实验6(时序电路)•《实验指导书》实验2.4:在实验箱上进行74,112,194,161芯片的验证。

•提早做完的,继续做3.2(p53)的“3.用74HC16I设计十二进制计数器”注:时钟信号可由“C1OCK G ENARATION”部分生成(可手动,也可自动)希望不要再跟着指导书的指引接线,而是看着课本的真值表自行接线进行测试实验7(时序电路加强)《实验指导书》3.2的“2.数控分频器”(p53)实验8(综合设计)按教材“771计数器数码管显示译码器”(p386)进行设计,烧录并测试。

EDA实验指导书

EDA实验指导书

EDA实验指导书计算机科学与技术系2008.09目录实验一Quartus II 开发环境实验 (3)实验二半加器 (18)实验三全加器 (19)实验四多路数据选择器 (21)实验五编码器 (23)实验六7段数码管控制接口 (25)实验七计数器 (28)实验八MOORE机 (30)实验九MEALY机 (34)实验十串入/并出移位寄存器 (38)实验十一并入/串出移位寄存器 (40)实验十二数字钟 (42)实验一Quartus II 开发环境实验一、实验目的:1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

3、初步了解可编程器件设计的全过程。

二、实验步骤:Quartus II软件的基本操作与应用三、实验内容:学习Quartus II软件的基本操作并设计3人表决器一.Quartus II 7.2 启动◆方法一、直接双击桌面上的图标,可以打开Quartus II 7.2 软件;◆方法二、执行:【开始】→【程序】→【Altera】→【Quartus II 7.2】→【Quartus II 7.2 TalkBackInstall】菜单命令,可以打开软件。

◆启动软件后,若你的电脑没有连接到Internet互联网,会出现提示,提示你没有连接到Altera的官方网站,将无法获得更新的资源。

点击〖确定〗继续,因为这不影响软件的正常使用。

二.Quartus II 7.2软件界面Quartus II 7.2软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。

三.Quartus II 7.2软件使用1. 新建项目工程使用QuartusII7.2设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。

《EDA》实验指导书--精讲

《EDA》实验指导书--精讲

辽东学院自编教材《可编程逻辑器件原理及应用实验》指导书李海成编(计算机科学与技术、电子信息工程专业用)姓名:学号:班级:信息技术学院2013年6月目录目录 (1)实验一MAX+PLUS-II设计三八译码器......... 错误!未定义书签。

实验二半加器 . (2)实验三带进位输入的8位加法器 (4)实验四数据比较器 (6)实验五编码器 (9)实验六组合逻辑电路的设计 (12)实验七计数器 (14)实验八触发器功能的模拟实现 (17)(被加数)Ai(被加数)Bi(半加和)Hi(本位进位)Ci实验二 半加器实验类型: 验证性实验课时: 2指导教师: 李海成 时 间:201 年 月 日 课 次:第 节教学周次:第 周实验分室: 实验台号: 实 验 员:一、 实验目的1.设计并实验一个一位半加器2.掌握CPLD/FPGA 组合逻辑设计基本方法。

二、 实验原理计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。

按照进位是否加入,加法器分为半加器和全加器电路两种。

计算机中的异或指令的功能就是求两个操作数各位的半加和。

一位半加器有两个输入、输出,如图2-1。

图2-1 一位半加器示意图表2-1一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式:Bi Ai Bi Ai Hi ∙+∙= Bi Ai Ci ∙=三、 实验连线半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。

四、 实验记录五、实验注意事项1.提前编辑实验程序。

2.根据教师要求正确操作,并检验逻辑的正确性六、思考题1.EDA半加器实现与数字电路设计方法的根本区别。

2.简述EDA设计半加器的不同方法,并比较其优缺点。

3.心得体会及其他。

实验三 带进位输入的8位加法器实验类型: 验证性实验课时: 2 指导教师:时 间:200 年 月 日 课 次:第 节教学周次:第 周实验分室: 实验台号: 实 验 员:一、 实验目的1. 设计并实现一个8位全加器2. 掌握EDA 中模块调用方法 二、 实验原理利用实验二构建的半加器构建一位的全加器,然后设计一个8 位的全加器,其框图如图4-1所示。

EDA实验指导书_实验指导06_EDA_硬件综合实验

EDA实验指导书_实验指导06_EDA_硬件综合实验

“电子设计自动化(EDA)”实验指导书(六)一、实验课程编码:105009二、实验课程名称:电子设计自动化(EDA)三、实验项目名称:综合硬件实验二(带时分秒按键调整和静态数码管显示的数字钟)四、实验目的1)学会看硬件原理图,2)掌握FPGA硬件开发的基本技能3)培养EDA综合分析、综合设计的能力五、主要设备1)PC机,2)硬件实验箱,3)Max plusII软件开发平台。

六、实验内容1.6个数码管静态显示驱动2.按键模式选择(时\分\秒)与调整控制3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、显示译码模块、顶层模块。

要求使用实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。

七、实验步骤1.打开Max plusII ,连接实验箱上的相关硬件资源,如下图1所示。

2.建立新文件,选择文本类型或原理图类型。

3.编写程序。

4.编译5.仿真,加载程序到芯片,观察硬件输出结果(数码管显示)6.结果正确则完成。

若结果不正确,则修改程序,再编译,直到正确。

图1 综合硬件实验二对应的硬件资源连接八、实验结果直接观察实验箱的数码管显示、操作按键进行控制和调整。

数字钟包括正常的时分秒计时,DS2B DS1B.125 H z.25 H z.5 H zH zH zH zH z4 H z56 H z024 H z096 H z6384 H z2768 H z5536 H z50 K H z.5 M H zM H zM H z2 M H z4 M H zHour 00-23 Minute 00-59Second 00-59实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒。

adjust 递增调整时分秒,mode按键循环调整模式。

EDA实验指导讲义(自编)

EDA实验指导讲义(自编)

实验注意事项1.启动计算机,进入2000系统(98系统可以直接进行硬件编程下载,其它系统需装驱动)。

2.打开Quartus II软件,注意软件有没有安装Lisence,若没有请自己安装。

3.在Quartus II中进行设计的输入、编译和仿真,若正确后可进行下一步。

4.检查实验箱的数据线有无连接到计算机的并口(轻插轻拔),电源线有无接上,若均有,则给实验箱上电(开关在实验箱后面)。

5.确认你所需要的实验模式,选中此种模式后,建议按一下右侧的复位键,以便使系统进入该结构模式工作。

6.在Quartus II中进行引脚的锁定后,重新编译一次,然后再下载到实验箱上,接着在实验箱上进行硬件验证。

需要修改代码的话,重复3~6部分。

7.注意在实验中不可带电插拔实验箱上的任何芯片。

8.不可随意搬动实验箱,若确实需要移动,需老师在场,且同意。

9.不可将水、饮料等其它液体洒到实验箱上面。

10.离开实验室时不可带走任何实验室的东西,比如实验说明书等。

11.闲置不用实验箱时,关闭实验箱后面的开关,注意不要拔掉数据线(后续实验还要用),将数据线放平,实验箱的盖子轻轻盖上,不必上锁扣!!!实验的一般步骤1.原理图或VHDL程序代码输入2.检查有无语法错误,编译通过3.建立波形仿真文件4.进行时序仿真,观察逻辑关系是否正确5.管脚分配与锁定6.重新编译适配,产生下载文件7.检查实验箱的电源接上否?并行下载线是否正确联接?拨码开关4为ON,其它为OFF?8.打开实验箱电源,选择合适的模式,复位系统9.编程下载,选择JTAG并行下载方式10.硬件仿真实验课的上课纪律:1.珍惜做实验的时间,禁止在电脑上玩游戏2.实行点名制度,须经老师在实验箱上进行硬件验证,若正确才算完成实验。

3.平时实验的成绩占期末考的30%~40%。

实验报告的撰写格式:实验名称一、实验目的二、实验内容三、实验条件(1)、开发软件Max+Plus II 或者Quartus II 5.0/7.2(2)、实验设备 GW-48系列EDA实验开发系统(3)、所用芯片Altera公司ACEX1K系列的EP1K30TC144-3芯片四、实验设计(1)、系统的原理框图(2)、原理图/VHDL源程序(3)、仿真波形(4)、管脚锁定情况五、实验结果及总结(1)、系统仿真情况(2)、硬件验证情况(3)、实验过程中出现的问题及解决的办法注:除仿真波形可用截图方式打印外,其余要求用手写。

EDA技术实验指导书

EDA技术实验指导书

可编程逻辑设计实验指导书2010.9实验一利用原理图输入法设计简单组合电路一、实验目的:熟悉QuartusII软件界面, 学习简单组合电路的多层次化电路设计方法,掌握文本输入和原理图输入设计方法。

掌握时序仿真测试及测试结果分析的方法。

二、实验原理:三选一数据选择器可以由两个二选一数据选择器构成,原理图如图1 所示。

图1 三选一数据选择器上图中,二选一数据选择器MUX21A的功能如下:当s=0时,y=a;当s=1时,y=b 。

两个MUX21A 如上图连接后,实现三选一功能s1s0=00,outy=a1;s1s0=01,outy=a1;s1s0=10,outy=a2;s1s0=11,outy=a3。

三、实验内容:1.利用QuartusⅡ完成2选1多路选择器MUX21A的文本编辑输入,然后编译、仿真,检查程序设计正确无误后,生成一个元件待用。

给出文本设计文件和仿真波形图。

entity mux21a isport (a,b:in bit;s:in bit;y:out bit);end entity mux21a;architecture one of mux21a isbeginy<=a when s='0' else b;end architecture one;INCLUDEPICTURE "C:\\Documents and Settings\\Administrator\\Application Data\\Tencent\\Users\\970546411\\QQ\\WinTemp\\RichOle\\97Y2MLW9QPY[F5VI2 9$OZ{2.jpg" \* MERGEFORMATINET2.利用原理图输入法,按照图1进行连线,完成三选一电路的设计。

然后编译、仿真测试,结果正确后锁定管脚,下载到FPGA芯片中,进行硬件测试。

给出原理图设计文件和仿真设计图。

EDA技术实验指导书

EDA技术实验指导书

电子系统设计与EDA技术实验指导书实验须知:1.实验前认真阅读实验任务书,明确实验目的、内容及要求等;2.实验过程中要注意爱护实验设备,按要求接线、按步骤操作;3.要养成严谨科学的实验态度和勇于探索的实验精神,注重实践创新,对于在实验中遇到的困难,鼓励独立思考,用新思路、新方法解决;4.实验完成后,按学院资料入库标准认真完成实验报告,撰写实验报告要实事求是,严禁抄袭。

目录实验一MAX+plusⅡ的基本应用 (4)实验二简单组合逻辑设计 (6)实验三数码管扫描显示电路 (8)实验四简单时序逻辑电路设计 (11)实验六 4位二进制加法器设计 (13)实验七彩色LED组跑马灯的实现 (14)实验九数字钟的设计 (17)实验一MAX+plusⅡ的基本应用一、实验目的:1.熟悉MAX+plusⅡ的基本操作;2.掌握MAX+plusⅡ环境下的设计输入方法;3.熟悉相关的元件库以及功能模块的应用。

二、实验内容及步骤:1.通过2选1多路选择器的设计,熟悉VHDL文本输入的设计流程。

1)安装MAX+plusⅡ;2)启动MAX+plusⅡ(注意:第一次运行MAX+PLUSII时,需进行License设置。

从Option 菜单中选择License Setup进入License设置窗口,单击Browse按钮,选择License.dat文件即可);3)按照以下流程完成2选1多路选择器的VHDL设计:设计输入→保存→建立设计项目→编译→创建默认符号;2.通过1位二进制全加器的设计,熟悉图形输入设计流程。

1)半加器图形设计文件输入(后缀为.gdf)→保存→建立设计项目→编译→创建默认符号;2)顶层文件设计:全加器图形设计文件输入→保存→建立设计项目→编译→引脚分配及锁定→功能仿真→时序分析;三、实验要求:1.实验前预习2选1多路选择器的VHDL程序以及全加器的原理图;2.按照实验步骤完成本次实验任务,记录主要的设计流程;3.分析全加器功能仿真的波形;4.认真完成实验报告。

EDA实验指导参考

EDA实验指导参考

实验一组合逻辑3-8译码器的设计一、实验目的:1、通过3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

3、初步了解可编程器件设计的全过程。

二、实验步骤:1、打开QuartusII软件。

2、选择路径。

选择File/New Project Wizard,指定工作目录,指定工程和顶层设计实体称;注意:工作目录名不能有中文。

3、添加设计文件。

将设计文件加入工程中。

单击“Next”,如果有已经建立好的VHDL 或者原理图等文件可以在File name中选择路径然后添加,或者选择Add All添加所有可以添加的设计文件(.VHDL ,.Verilog原理图等)。

如果没有直接点击“Next”,等建立好工程后再添加也可,这里我们暂不添加。

4、选择FPGA器件。

Family选择Cyclone II,Available device选EP2C35F484C8,Packge 选择Any,Pin Count 选择484,Speed grade选择Any;点击“Next”。

5、选择外部综合器、仿真器和时序分析器。

Quartus II支持外部工具,可通过选中来指定工具的路径。

这里我们不做选择,默认使用Quartus II自带的工具。

6、结束设置。

单击“Next”,弹出“工程设置统计”窗口,上面列出了工程的相关设置情况。

最后单击“Finish”,结束工程设置。

7、建立原理图文件。

如果在建立工程时没有添加设计文件,这时可以新建文件再添加。

也可通过选择Project/ Add/Remove Files In Project来添加外部文件。

8、添加文件到工程中。

点击“OK”,并选择File/Save As,选择和工程相同的文件名。

点击“保存”,文件就被添加进工程当中。

9、原理图建立完毕。

这时,可以开始在原理图上进行设计了。

提示:用户可以在打开Quartus II后直接建立原理图或者VHDL文件,选择Save As,系统会提示是否要保存为工程文件,选择建立工程文件,也可进入工程文件建立流程。

《EDA》实验指导书

《EDA》实验指导书

EDA技术与应用实验指导书实验一MAX+PLUSII 软件使用实验一 实验目的1 熟悉MAX+PLUSII 软件的使用2 了解图形法的设计过程 二 实验内容1 在MAX+PLUSII 环境下,执行“File ”→“New ”命令,弹出如图1.1所示的“编辑文件类型”对话框,选择“Graphic Editor file ”后单击“OK ”按钮,进入MAX+PLUSII 图形编辑方式,其界面如图1.2所示。

图形编辑界面中的空白处,即原理图编辑区,相当于一张空白图纸,设计者可以在此画出自己的电路设计图。

图1.1 编辑文件类型对话框图形编辑器文件 符号编辑器文件 文本编辑器文件 波形编辑器文件图1.2图形编辑器界面在原理图编辑区的任何一个空白位置上双击鼠标的左键,将弹出一个“元件选择”对话框,如图1.3所示。

调出所需的器件后,连线,修改输入输出引脚的名称,存盘。

原理图如下:由此输入所需的元件名用户自己设置的元件库基本逻辑元件库老式宏函数元件库参数可设置的强函数元件库基本逻辑元件库的元件图1.3 元件选择对话框 图1.4 模12进制原理图2 编译执行“File ” →“Project ” →“Set Project Current File ”。

单击“MAX+plusII ” →“Compiler ”,在弹出的编译对话框中单击“Start ”按钮,即可对当前文件进行编译。

如果当前文件不存在问题,编译后的结果如图2.1所示。

在编译中,MAX+plusII 自动完成编译网表提取(Compiler Netlist Extractor )、数据库建立(Database Bulder )、逻辑综合(Logic Synthesizer )、逻辑分割(Partitioner )、适配(Fitter )、延时网表提取(Timing SNF Extractor )和编译文件汇编(Assembler )等操作,并检查设计文件是否正确。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1为D 1为D 1为D
/ 1为J,2为K
/ / / / / / 1调节分钟,2调节小时 / / / / / / / / / / /
所有拨动开关均为拨向上为高电平,拨向下为低电平
SW[1..8]
1、2为输入 1为使能端 1为使能端 1、2为选择信号 1、2为选择信号 1--8为输入 1为使能端(高电平有效) 1--4为A输入,5--8为B输入 1--4为A输入,5--8为B输入
备注 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 WJP8短接 S1全部短接
JJ1短接 连接TTP2,JTP3 CJP4,CJP5要接,波特率要为2400
实验内容
基本门电路
实验一
三态门电路
单向缓冲器
四选一选择器
实验二
四路数据分配器 8-3优先编码器
3-8译码器
四位数据比较器
实验三
四位全加器
格雷码变换器
基本D触发器
同步复位D触发器
实验四
异步复位D触发器
RS触发器
JK触发器
实验五
键盘扫描显示
加法计数器
实验六
可逆加法计数器
24进制计数器
实验七
动态数码管设计 可独立显示的两位数码管
实验八
数字钟实验
实验九 DAC控制与波形发生器设计
实验十 A/D采样控制器设计
实验十一 单片机和CPLD通信
实验十二
步进电机的设计 直流电机的设计
实验十三
交通灯实验
实验十四 VGA显示器彩条实验
实验十五
点阵实验
实验十六 PS/2键盘借口逻辑设计
实验十七
频率计
实验十八 LCD控制器的设计
SSW1(ON)
MJP1短路 MJP2短路 WJP9短接
CJP4,CJP53,4短接
WJP1,WJP2短接
/ 1为CP 1为CP,2为复位(高电平有效) 1为CP,2为复位(高电平有效3为PRN
/ 1为复位信号(高电平有效) 1为复位信号,2改变记数的方向 1为复位信号(高电平有效)
/ / 1为低电平,高电平复位 / / / 1-3设置步进电机的工作方式 1控制直流电机的转停 / / / / / 1为高电平
实验现象
LED显示对应输出 LED显示对应输出 LED显示对应输出 LED显示对应输出 LED显示对应输出 LED1--3显示编码输出 LED1--8显示解码输出 LED1、2、3分别为A=B、>、< LED显示相加的结果 LED显示格雷码输出
LED1为Q LED1为Q LED1为Q LED1为Q,LED2为NQ LED1为Q,LED2为NQ 数码管上显示相应的按键值 SM1循环显示0--F SM1循环显示0--F SM1,SM2循环显示0--23 SM1--SM8由SW1--SW4决定,同时显示相同的数字 SM1由SW1--SW4决定,SW2由5--8决定 数码管显示电子时钟 用示波器看JTP1,2的波形 在SM1,SM2上显示转换结果 记数,和PC机通信(SW1为低电平) 步进电机转 直流电机工作 交通灯工作 显示器上显示彩条 点阵显示1,2,3,4 数码管显示键盘值 数码管上显示待测频率值 LCD上显示“恒盾电子”
GCLK1 (Hz)
/ / / / / / / / / / / / / / / 2048 2 2 2 / / 2 64K 500K / 2 2 2 8M 64K / 1024 4K
,拨向下为低电平
GCLK2 (Hz)
/ / / / / / / / / / / / / / / / / / / 4K 4K 4K / / / / / / 2 / 250K 待测频率 /
3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 2,3 2,3 2,3 2,3 1 1 1 3,5 2,3,5 2,4,5 3,5 3,5 2 / 4 2,3,7,8 1 3,5
K[1..8]
/ 1为输入 1--8为输入 1--4为输入 1为输入 1为使能信号 1--3为输入 1为进位信号RST 1为进位信号CIN 1--4为二进制输入
相关文档
最新文档