EDA实验指导书

合集下载

EDA实验指导书_2

EDA实验指导书_2

EDA 技术与VHDL实验指导书通信实验室编制2012年9月实验一组合电路的设计 (3)实验二时序电路的设计.................................错误!未定义书签。

实验三8位全加器的设计................................错误!未定义书签。

实验四含异步清零和同步时钟使能的加法计数器的设计错误!未定义书签。

实验五十六进制七段数码显示译码器设计.错误!未定义书签。

实验六数控分频器的设计.............................错误!未定义书签。

实验七序列检测器的设计.............................错误!未定义书签。

实训一组合电路的设计一、实验目的熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容1: 首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤, 给出仿真波形。

最后在实验系统上进行硬件测试, 验证本项设计的功能。

2:将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述一个双2选1多路选择器, 并将此文件放在同一目录中。

三、实验仪器ZY11EDA13BE型实验箱通用编程模块, 配置模块, 开关按键模块, LED显示模块。

四、实验原理1.2选1多路选择器的VHDL源代码ENTITY mux21a ISPORT ( a, b, s: IN BIT;y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s = '0' THEN y <= a ; ELSE y <= b ;END IF;END PROCESS;END ARCHITECTURE one ;下图为本例2选1多路选择器的仿真图形2.双2选1多路选择器以下是部分参考程序:...COMPONENT MUX21APORT ( a, b, s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;...u1 : MUX21A PORT MAP(a=>a2, b=>a3, s=>s0, y=>tmp);u2 : MUX21A PORT MAP(a=>a1, b=>tmp, s=>s1, y=>outy);END ARCHITECTURE BHV ;五、实验报告:根据以上的实验内容写出实验报告, 包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

电子设计自动化(eda)实验指导书

电子设计自动化(eda)实验指导书

电子设计自动化(EDA)实验指导书前言近些年来,电子设计自动化(EDA)技术发展迅速。

一方面,各种大容量、高性能、低功耗的可编程逻辑器件不断推出,使得专用集成电路(ASIC)的生产商感受到空前的竞争压力。

另一方面,出现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路的设计效率,使更低成本、更短周期的复杂数字系统开发成为可能。

于是一场ASIC与FPGA/CPLD之争在所难免。

然而PLD器件具有先天的竞争优势,那就是可以反复编程,在线调试。

EDA技术正是这场较量的推动引擎之一。

一般来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。

设计者只需编写硬件描述语言代码,然后选择目标器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。

整个过程,大部分工作由EDA软件完成。

全球许多著名的可编程器件提供商都推出了自己的集成开发工具软件,如Altera 公司的MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司的Foundation 、ISE软件,Lattice公司的ispExpert软件,Actel公司的Libero 软件等。

这些软件的推出,极大地促进了集算法设计、芯片编程、电路板设计于一体的EDA技术的发展。

另外,在以SOC芯片为目标器件的电子系统设计要求下,可编程器件的内部开始集成高速的处理器硬核、处理器软核、DSP模块、大量的存储资源、高速的串行收发模块、系统时钟管理器、多标准的I/O接口模块,亦使得设计者更加得心应手,新一轮的数字革命由此引发。

EDA技术是一门实践性很强的学科,要培养出具有竞争力的一流IC设计人才,动手能力是关键。

只有通过理论学习,加上现场实验,在使用软件编程加硬件调试的过程中真正获得锻炼,增长技能。

ZY11EDA13BE型实验系统采用主板加适配板加扩展板的灵活结构,可方便进行基于不同PLD芯片的实验开发,并易于升级,符合当前高校在此方面对人才培养的要求。

EDA实验指导书

EDA实验指导书

EDA技术实验指导书2012.09第一章针对HDL设计的EDA基本实验与设计实验1.计数器设计(1) 实验目的:熟悉Quartus II的Verilog/VHDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。

掌握原理图与文本混合设计方法。

(2) 实验原理:参考教材[1]3.3节。

实验程序为例(3) 实验内容1:根据教材[1]的4.1节在Quartus II上对例3-22进行编辑、编译、综合、适配、仿真。

说明例中各语句的作用。

给出其所有信号的时序仿真波形,根据波形详细描述此设计的功能特点,包括RST、EN、LOAD、DA TA,CLK等信号等异步和同步特性。

查阅编译后的计数器的时序特点,从时序仿真图和编1 原理图示意图译报告中了解计数时钟输入至计数数据输出的延时情况,包括设定不同优化约束后的改善情况以及当选择不同FPGA后的延时情况,给出分析报告。

(4) 实验内容2:用教材[1]第4章介绍的不同方式锁定引脚并硬件下载测试。

引脚锁定后进行编译、下载和硬件测试实验。

将实验过程和实验结果写进实验报告。

硬件实验中,注意测试所有控制信号和显示信号,包括RST、EN、LOAD、DA TA等的同步、异步特性,进位信号等。

时钟CLK换不同输入:手动有抖动或无抖动键输入,1Hz或4Hz时钟脉冲输入,这需要附录1.3的模块B4板才能获得,或直接使用KX_DN5/7系列EDA/SOPC实验系统。

(5) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考教材[1]第4章,给出报告。

(6) 实验内容4:从设计中去除SignalTap II,要求全程编译后,将生成的SOF文件转变成用于配置器件EPCS4的压缩的间接配置文件*. jic,并使用USB-Blaster对实验板上的EPCS4进行编程,最后进行验证。

编程和全程编译前,按教材[1]图4-6所示,设定所有控制和参数。

(7) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端CLK0。

EDA实验指导书

EDA实验指导书

实验一熟悉QUARTUS Ⅱ的设计过程[输入方式:文本输入、图形输入、波形输入等]一、实验目的:1、掌握QUARTUSⅡ安装过程;2、熟悉QUARTUSⅡ设计环境;3、掌握QUARTUSⅡ的设计过程。

二、实验内容及步骤(一)、安装QUARTUS II。

注:第一次安装QUARTUS要安装license。

(二)、QUARTUSⅡ设计开发步骤一、创建工作文件夹在windows中建立一个文件夹(又称工作库或WORK LIBRARY),用于保存设计工程项目的有关文件。

注:设计工程项目的有关文件不能保存在根目录下,必须保存在一个文件夹之下。

例如建立的文件夹:E:\mux.二、启动Quartus II点击QUARTUSⅡ7.0图标打开QUARTUSⅡ7.0设计窗口。

三、设计文件输入1、打开输入文件编辑器点击菜单File\new…新建立一个文本设计文件。

用文本输入法输入程序。

程序见附录。

2、保存文件,文件名名同实体名。

后缀.VHD四、逻辑综合1、创建工程点击菜单File\New Project Wizard…….进行工程设置。

2、编译设置⑴选择PLD芯片:Assignmenmts\Settings\Device弹出的窗口中选择选择芯片。

⑵选择配置芯片的工作方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中首选General项,在Options栏中选择Auto-restart-configuration after error.⑶选择配置芯片和编程方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Configuration栏,在窗口中设置配置方式,配置芯片和是否生成压缩的配置文件。

⑷选择输出设置:保持默认。

⑸选择目标器件闲置引脚的状态:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Unused Pins栏,在窗口中对闲置的引脚设置,推荐设置为As output driving groud。

EDA实验指导书全

EDA实验指导书全

实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。

2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。

步骤一:1、建立工程,设计输入。

选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。

Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。

不作任何选择。

4、完成设置点击“Next”后,完成工程的设定,点击“finish”。

步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。

VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。

《EDA技术》实验指导书

《EDA技术》实验指导书

EDA技术实验指导书适用于电子信息工程专业QUARTUS II 8.1软件的使用一、实验目的与要求:1、练习使用QUARTUS II 8.1软件,掌握利用该软件进行简单EDA设计的基本流程;2、完成一个通过拨码开关控制发光二极管亮灭的应用,实验结束后可独立完成思考题。

二、实验环境与器材:1、微机(已安装授权的QUARTUS II 8.1软件)2、EDA/SOPC实验开发系统3、USB Blaster下载线一根三、背景知识与操作流程:QUARTUS II是ALTERA公司推出的EDA开发工具,其前身为MAX PLUS II,目前实验室安装的版本为8.1,利用该软件可进行对可编程逻辑器件的分析、综合、下载等设计。

EDA/SOPC实验开发系统是由北京百科公司生产的一套EDA实验系统,其核心芯片采用ALTERA公司的CYCLONE系列FPGA产品EP1C6Q240C8,同时配备了丰富的外部接口资源,可供学生进行EDA设计实验。

启动QUARTUS后的界面如图1所示,首先需要创建一个工程,具体操作过程如下:图1 QUARTUS软件的启动界面(1)点击File –> New Project Wizard创建一个新工程,系统显示如图2。

图2 工程创建向导的启始页(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3所示;(3)点击Next,若目录不存在,系统可能提示创建新目录,如图4所示,点击“是”按钮创建新目录,系统显示如图5所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5)点击Next,进入设备选择对话框,如图6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8;(6)点击Next,系统显示如图7,提示是否需要其他EDA工具,这里不选任何其他工具;(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图8,在窗口左侧显示出设备型号和该工程的基本信息等。

EDA技术基础实验指导书

EDA技术基础实验指导书

实验一EDA工具基本操作与应用一、实验目的1、通过一个简单的D触发器的设计,让学生掌握QUARTUSII设计工具进行电子设计的基本流程。

2、初步了解可编程器件设计的全过程。

二、主要仪器设备EDA实验系统一台,EDA/SOPC实验系统一台三、实验步骤QUARTUSII软件的基本操作与应用1、运行QUARTUSII软件。

2、选择File/New Project Wizard,新建一个工程,并点击Next。

图:1-13、指定工作目录及工程顶层设计实体名称,如图1-1所示,并点击2次Next。

4、选择FPGA器件,如图1-2所示,并点击Finish,工程文件建立结束。

图:1-25、点击File/New,新建一个VHDL文件,如图1-3所示。

图:1-36、点击Ok,并保存,无需任何修改,点击Ok即可。

7、按照自己的想法在新建的VHDL文件中编写VHDL程序,如D触发器程序代码,如图1-4所示。

图:1-48、代码书写结束后,选择Processing/Start Compilation对编写的程序代码进行编译,直至编译通过,否则对程序代码进行修改。

9、编译通过后,选择File/New,在弹出的对话框中点击Other Files,选择Vector WaveformFile,并点击OK,建立一个波形文件,如图1-5所示,保存波形文件。

10、在波形文件加入输入输出端口,如图1-6所示。

图:1-611、对加入到波形文件中的输入端口进行初始值设置,并点击Processing/Start Simuliation 进行仿真。

查看仿真结果是否符合要求。

12、仿真无误后,选择Assignments/Assing Pins对实验中用到的管脚进行绑定分配,如图1-7所示。

图1-713、对于复用的引脚,需做进一步处理,使其成为通用I/O。

14、最后再编译一次,编译无误后,用下载电缆通过JTAG接口将对应的dff2.sof文件下载到FPGA中。

EDA 实验指导书

EDA  实验指导书

EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。

三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。

它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。

因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。

传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。

而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。

3(一)Max+plusⅡ10.0的使用。

1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。

第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。

EDA技术实验指导书

EDA技术实验指导书

《EDA技术》实验指导书面向专业:通信工程信息工程自动化电子信息工程电气工程及其自动化信息与通信工程学院2016年9月前言一、课程性质本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。

通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。

二、专业安排本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。

三、本书特点本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。

目录前言 (I)第一章实验系统 (1)1.1 系统整体结构 (1)1.2 核心板 (1)1.3 基础扩展模块 (2)1.4 自动控制模块 (3)1.5 信号处理模块 (3)1.6 通信接口模块 (4)第二章开发平台简介 (5)2.1 Quartus II简介 (5)2.2 Quartus II开发流程 (5)第三章实验项目 (9)实验1 平台应用及全加器设计 (9)实验2 信号发生器设计 (11)实验3 数字电压表设计 (13)实验4 数字频率计设计 (16)实验5 交通灯控制器设计 (19)第一章实验系统1.1 系统整体结构本实验指导书采用的EDA综合实验开发系统是我院电子信息与通信技术实验教学中心自主研制,整体结构如图所示。

1.2 核心板核心板采用Altera公司的EP4CE22E22C8N芯片,具有低内核电压、低功耗的特点。

芯片内部具有22320个逻辑单元,594kbit RAM嵌入式储存器,66个嵌入式18×18乘法器,4组通用PLL。

1、DIP开关主要功能是控制高低电平,通过手动控制为系统提供稳定的逻辑信号。

系统总共提供了3位拨档开关,当开关的档位在上方时则输出高电平“1”,反之则为低电平“0”。

EDA实验指导书

EDA实验指导书

在EDA-VI实验箱底板上,采用了CPLD资源整合及与CPU板主控制器之间采用总线互联,其中地址总线和数据总线可以通过4位从CPU板到EDA-VI底板的功能选择位,实现多路复用,来决定是用作总线方式,还是IO方式。

EDA-VI实验用到的管脚资源及功能选择说明如下:一、EDA基本实验用到的管脚资源(约定:如不作特别声明,以下管脚均为E-PLAY-SOPC CPU板上FPGA芯片EP1C12的对应管脚)地址线:BUS_A[0] PIN_128 --8位数据时用,16位数据时BUS_A[0]没用到BUS_A[1] PIN_115BUS_A[2] PIN_114BUS_A[3] PIN_113BUS_A[4] PIN_108数据线:BUS_D[0] PIN_105BUS_D[1] PIN_104BUS_D[2] PIN_101BUS_D[3] PIN_100BUS_D[4] PIN_85BUS_D[5] PIN_84BUS_D[6] PIN_83BUS_D[7] PIN_82BUS_D[8] PIN_86BUS_D[9] PIN_87BUS_D[10] PIN_88BUS_D[11] PIN_93BUS_D[12] PIN_94BUS_D[13] PIN_95BUS_D[14] PIN_98BUS_D[15] PIN_99功能选择:VGA[0] PIN162VGA[1] PIN161VGA[2] PIN164VGA[3] PIN163EP1C12板上4位按键PB[0] PIN127PB[1] PIN126PB[2] PIN125PB[3] PIN124EP1C12板上复位按键RESET PIN131EP1C12板上4位LEDLED[0] PIN165LED[1] PIN166LED[2] PIN167LED[3] PIN168EP1C12板上4位拨码SW[0] PIN4SW[1] PIN5SW[2] PIN6SW[3] PIN7EP1C12板上50MHz晶振输入OSC PIN153EDA-VI底板上IO9到IO16在EP1C12上对应的引脚用于8位LED显示,将L1—L8分别连接到IO9—IO16data[0] PIN_132 IO9data[1] PIN_133 IO10data[2] PIN_134 IO11data[3] PIN_135 IO12data[4] PIN_136 IO13data[5] PIN_137 IO14data[6] PIN_138 IO15data[7] PIN_139 IO16PLL1_OUTn PIN_39 IO1PLL1_OUTp PIN_38 IO2CLK1p PIN_28 IO3CLK1n PIN_29 IO4LED0 PIN_165 IO5 (对应CPU板上的L0—L3)LED1 PIN_166 IO6LED2 PIN_167 IO7LED3 PIN_168 IO8EDA-VI底板上IO_CLK为4位拨码开关SW17—SW20来控制输出40M分频后的可调时钟具体对应如下SW17 — SW20 IO_CLK1 1 1 1 1Hz0 1 1 1 5Hz1 0 1 1 10Hz0 0 1 1 25Hz1 1 0 1 50Hz0 1 0 1 500Hz1 0 0 1 1KHz0 0 0 1 2.5KHz1 1 1 0 10KHz0 1 1 0 20KHz1 0 1 0 50KHz0 0 1 0 200KHz1 1 0 0 500KHz0 1 0 0 2MHz1 0 0 0 5MHz0 0 0 0 20MHzP1—P3未定义P4 10MHz固定时钟(40MHz分频产生)P5 1MHzP6 100KHzP7 5KHzP8 100Hz二、4位功能管脚说明:PORT B EP1C12 left right 对应标识41 —> 162 —> 77 —> 49 —> VGA[0]42 —> 161 —> 78 —> 50 —> VGA[1]43 —> 164 —> 79 —> 51 —> VGA[2]44 —> 163 —> 80 —> 52 —> VGA[3]left表示EDA-VI主板左边的CPLD EPM1270,right表示EDA_VI主板右边的CPLD EPM1270VGA[3..0] 0001 16位拨码开关接到16位数据总线上;0010 左端8个数码管,低8位为7位段总加小数点选取位,高8位为8个数码管com端选取,即如果要选取数码管0,则发送总线值为:11111110 1111 1111,如要选取数码管1,则发送总线值为:1111 1101 11111111.此时所选数码管7段和DP位将全部亮;0101 4X4键盘功能选取,此时只有最低的8位有效,高4位为键盘的4位行扫描输出,低4位为键盘的4位列查询输入;0110 16X16 LED点阵显示功能选取,16位数据总线作为点阵的行值,4位地址对应列值编码,(底板上已经过译码).4位地址分别对应E-Play-SOPC主适配器上外扩总线地址的ADDRESS[4..1];除以上4种状态外的其它状态,均为总线方式操作。

EDA实验指导书

EDA实验指导书

EDA实验指导书计算机科学与技术系2008.09目录实验一Quartus II 开发环境实验 (3)实验二半加器 (18)实验三全加器 (19)实验四多路数据选择器 (21)实验五编码器 (23)实验六7段数码管控制接口 (25)实验七计数器 (28)实验八MOORE机 (30)实验九MEALY机 (34)实验十串入/并出移位寄存器 (38)实验十一并入/串出移位寄存器 (40)实验十二数字钟 (42)实验一Quartus II 开发环境实验一、实验目的:1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

3、初步了解可编程器件设计的全过程。

二、实验步骤:Quartus II软件的基本操作与应用三、实验内容:学习Quartus II软件的基本操作并设计3人表决器一.Quartus II 7.2 启动◆方法一、直接双击桌面上的图标,可以打开Quartus II 7.2 软件;◆方法二、执行:【开始】→【程序】→【Altera】→【Quartus II 7.2】→【Quartus II 7.2 TalkBackInstall】菜单命令,可以打开软件。

◆启动软件后,若你的电脑没有连接到Internet互联网,会出现提示,提示你没有连接到Altera的官方网站,将无法获得更新的资源。

点击〖确定〗继续,因为这不影响软件的正常使用。

二.Quartus II 7.2软件界面Quartus II 7.2软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。

三.Quartus II 7.2软件使用1. 新建项目工程使用QuartusII7.2设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。

EDA技术实验指导书(印刷版)

EDA技术实验指导书(印刷版)

EDA技术实验指导书长沙学院电子与通信工程系2007年8月目录实验一简单逻辑电路实验 (1)实验二2选1多路选择器 (4)实验三D触发器设计 (5)实验四1位二进制全加器设计 (6)实验五4位加法计数器 (9)实验六7段数码显示译码器设计 (10)实验七带有复位和时钟使能的十进制计数器 (12)实验八带有并行置位的移位寄存器 (14)实验九较复杂电路的原理图设计 (16)实验十数控分频器的设计 (18)实验十一8位十六进制频率计设计 (20)实验十二基于LPM_COUNTER的数控分频器设计 (23)实验十五正弦信号发生器设计与LPM定制 (31)附录 (33)实验一简单逻辑电路实验一、实验目的1.学习Create-SOPC实验平台的使用方法;2.熟悉Quartus II 软件平台和使用VHDL 语言设计电路的方法;3.学习简单逻辑电路的设计、仿真和硬件测试。

二、实验内容运用Quartus II 集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证。

三、实验步骤及参考程序1.创建个人实验文件夹(最好使用英文字母命名不要用中文或数字名称)如:D:\example2.运行Quartus Ⅱ软件。

3.创建一个VHDL设计文件:File -> New,在Device Design Files 中选择VHDL File。

在程序编辑窗输入VHDL程序并保存;在弹出对话框中输入文件名(必须和程序中实体名相同)并使下方小框内出现“√”,点击保存会弹出“是否创建新工程”提示信息如图1-1所示。

图1-1 图1-24.创建一个新工程:点击图1-1中“是”可进入创建工程向导(也可以File -> New project Wizard进入向导),此时看到的默认工程名、顶层实体名都为h_adder(其中工程名可换名但顶层实体名必与编写的程序实体名一致),如图1-2。

EDA实验指导书1

EDA实验指导书1

第一部分图形法设计部分实验一:组合逻辑3-8译码器的设计(Quartus II软件的基本操作与应用)说明:本书将以实验一为例详细介绍Altera公司Quartus II软件的基本应用,其它实验将不再赘述。

读者在通过本实验后将对Quartus II软件及CPLD的设计与应用有一个比较完整的概念和思路。

在此因篇幅有限,仅仅介绍了Quartus II软件的最基本、最常用的一些基本功能。

相信读者在熟练使用本软件以后,你会发现该软件还有好多非常方便、快捷、灵活的设计技巧与开发功能。

一、实验目的:1、掌握组合逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

3、初步掌握Quartus II软件的基本操作与应用。

4、初步了解可编程器件的设计全过程。

二、实验步骤:(一)设计输入1)打开Quartus II 8.0开发软件,选择File-〉New Project Wizad。

弹出工程向导对话框,点击Next。

2)在第一行位置指定工程要保存的目录,如D:\Training,在第二行位置填入工程名decoder38和顶层实体名decoder38,再点击Next。

3)点击Next。

4)若实验所使用的是Cyclone系列的“EP2C35Q672C6”,点击Finish,工程创建完成。

此时只是配置了与工程相关的一些基本设置,在开发过程中如需要,仍然可以通过菜单Assignments ->Settings来修改。

5)新建文件:打开File->New,选择Device Design Files子类中的Block Diagram/Schematic File,点击OK,创建一个顶层文件。

6)出现下面所示界面,在界面上双击鼠标左键7)出现下图界面,点击Libraries框内的Project左侧加号,出现下拉菜单8)选择74138或者直接输入74138,点击OK将模块放置在刚刚建立的Block界面上。

10)在空白处点击鼠标左键,在Name处输入output点击OK,将输出模块加入到文件中去,同样方法再添加一个output。

EDA实验指导书

EDA实验指导书

实验一QuartusII软件和实验箱控制软件EDA2000使用流程[实验目的]1.掌握CPLD/FPGA的开发软件QuartusII的操作流程;2.掌握实验箱控制软件EDA2000的使用,熟悉EDA2000的实验箱结构。

[实验仪器]PC机1台QuartusII 软件1套EDA2000实验箱一个,并行电缆、串行电缆各一根。

[实验步骤]QuartusII软件使用世界各大FPGA/CPLD生产商都有自己的EDA开发环境,也有专业的第三方EDA软件。

ALTERA公司的QuartusII是Altera公司的第四代EDA集成开发环境,它操作方便、功能强大,提供了原理图输入和HDL语言输入功能,在环境中可以完成编译、查错、设计驱动信号、逻辑功能模拟、时序功能模拟、对FPGA/CPLD芯片编程以及SOPC的设计开发等功能。

下面以V erilog HDL语言输入设计为例,一步一步描述在QuartusII开发环境中如何完成FPGA/CPLD开发的设计流程。

本实验中利用V erilogHDL在FPGA里面设计一个与门,请同学们按照以下步骤操作:a)在e盘新建一个目录e:\fpga\experiment1,用于存放本次实验中的设计文件。

b)按图1-1操作打开QuartusII 7.2软件图1-1c)界面如下图1-2d)点击菜单File–〉New Project Wizard出现如下图,点击Next图1-3e) 在出现的对话框中按下图设置:f)点击Next ,出现如下对话框,本对话框要求加入文件到项目中,由于是新建项目,还没有设计文件,故空着,点击Next 。

项目顶层文件名称,通常与项目名称一致g)选择选择器件:这里的器件就是指每个设计所使用的FPGA或CPLD芯片,ALTERA公司具有代表性的FPGA为FLEX 10K系列的EPFl0K10LC84-4。

具有代表性的CPLD为MAX7000S系列的EPM7128SLC84-15。

EDA技术实验指导书

EDA技术实验指导书

可编程逻辑设计实验指导书2010.9实验一利用原理图输入法设计简单组合电路一、实验目的:熟悉QuartusII软件界面, 学习简单组合电路的多层次化电路设计方法,掌握文本输入和原理图输入设计方法。

掌握时序仿真测试及测试结果分析的方法。

二、实验原理:三选一数据选择器可以由两个二选一数据选择器构成,原理图如图1 所示。

图1 三选一数据选择器上图中,二选一数据选择器MUX21A的功能如下:当s=0时,y=a;当s=1时,y=b 。

两个MUX21A 如上图连接后,实现三选一功能s1s0=00,outy=a1;s1s0=01,outy=a1;s1s0=10,outy=a2;s1s0=11,outy=a3。

三、实验内容:1.利用QuartusⅡ完成2选1多路选择器MUX21A的文本编辑输入,然后编译、仿真,检查程序设计正确无误后,生成一个元件待用。

给出文本设计文件和仿真波形图。

entity mux21a isport (a,b:in bit;s:in bit;y:out bit);end entity mux21a;architecture one of mux21a isbeginy<=a when s='0' else b;end architecture one;INCLUDEPICTURE "C:\\Documents and Settings\\Administrator\\Application Data\\Tencent\\Users\\970546411\\QQ\\WinTemp\\RichOle\\97Y2MLW9QPY[F5VI2 9$OZ{2.jpg" \* MERGEFORMATINET2.利用原理图输入法,按照图1进行连线,完成三选一电路的设计。

然后编译、仿真测试,结果正确后锁定管脚,下载到FPGA芯片中,进行硬件测试。

给出原理图设计文件和仿真设计图。

EDA实验指导书

EDA实验指导书

EDA 实验指导书目录二零一二年九月 (2)实验一QUARTUSII软件使用 (2)实验二基本输出实验 (19)实验三、基本输入/输出实验 (23)实验四、静态lED 数码管显示 (26)实验五、动态LED 数码管显示 (29)实验六、蜂鸣器声响实验 (32)实验七、数字时钟实验 (34)实验八、频率计实验 (38)二零一二年九月实验一QUARTUSII软件使用一、实验目的1、了解QUARTUSII软件安装与配置的基本方法。

2、熟悉QUARTUSII集成开发环境。

二、实验内容1、安装并配置QUARTUSII。

2、通过创建一个简单的应用工程,初步熟悉QUARTUSII的使用,了解程序开发调试过程。

三、实验设备与仪器1、计算机四、实验步骤一、安装前的准备1.1、Windows XP操作系统(最好不要用Ghost精简版)关掉杀毒软件和防护软件(例如瑞星、360安全卫士),防止安装过程中出现不必要的麻烦。

1.2、QuartusII 7.2安装文件QuartusII和Altera公司配套的IP库。

1.3、QuartusII 7.2破解文件主要有3个文件:license.DA T、Quartus_II_7.2_b151破解器.exe、读我.txt。

二、Tips最好不要把Quartus软件安装到C盘。

三、安装过程3.1、光碟的内容3.2、安装过程选择安装的程序选择了QuartusII和IP库,用户自定义安装(稍后可以选择安装路径)。

同意协议填写用户名和公司名不同电脑默认的用户名和公司名都不同,可以随意填写。

最好不要安装到C盘,这里选择安装到D盘。

开始菜单中本程序的目录名(默认即可)安装所有功能总览安装配置正在安装全功能安装正在安装IP库IP库安装完成安装完IP库后,配置TalkBack(默认即可,不知道有什么用)完成所有安装过程四、破解QuartusII 7.24.1、破解之前如果没有破解,打开程序会看到如下提示。

EDA技术实验指导书

EDA技术实验指导书

电子系统设计与EDA技术实验指导书实验须知:1.实验前认真阅读实验任务书,明确实验目的、内容及要求等;2.实验过程中要注意爱护实验设备,按要求接线、按步骤操作;3.要养成严谨科学的实验态度和勇于探索的实验精神,注重实践创新,对于在实验中遇到的困难,鼓励独立思考,用新思路、新方法解决;4.实验完成后,按学院资料入库标准认真完成实验报告,撰写实验报告要实事求是,严禁抄袭。

目录实验一MAX+plusⅡ的基本应用 (4)实验二简单组合逻辑设计 (6)实验三数码管扫描显示电路 (8)实验四简单时序逻辑电路设计 (11)实验六 4位二进制加法器设计 (13)实验七彩色LED组跑马灯的实现 (14)实验九数字钟的设计 (17)实验一MAX+plusⅡ的基本应用一、实验目的:1.熟悉MAX+plusⅡ的基本操作;2.掌握MAX+plusⅡ环境下的设计输入方法;3.熟悉相关的元件库以及功能模块的应用。

二、实验内容及步骤:1.通过2选1多路选择器的设计,熟悉VHDL文本输入的设计流程。

1)安装MAX+plusⅡ;2)启动MAX+plusⅡ(注意:第一次运行MAX+PLUSII时,需进行License设置。

从Option 菜单中选择License Setup进入License设置窗口,单击Browse按钮,选择License.dat文件即可);3)按照以下流程完成2选1多路选择器的VHDL设计:设计输入→保存→建立设计项目→编译→创建默认符号;2.通过1位二进制全加器的设计,熟悉图形输入设计流程。

1)半加器图形设计文件输入(后缀为.gdf)→保存→建立设计项目→编译→创建默认符号;2)顶层文件设计:全加器图形设计文件输入→保存→建立设计项目→编译→引脚分配及锁定→功能仿真→时序分析;三、实验要求:1.实验前预习2选1多路选择器的VHDL程序以及全加器的原理图;2.按照实验步骤完成本次实验任务,记录主要的设计流程;3.分析全加器功能仿真的波形;4.认真完成实验报告。

《EDA》实验指导书

《EDA》实验指导书

EDA技术与应用实验指导书实验一MAX+PLUSII 软件使用实验一 实验目的1 熟悉MAX+PLUSII 软件的使用2 了解图形法的设计过程 二 实验内容1 在MAX+PLUSII 环境下,执行“File ”→“New ”命令,弹出如图1.1所示的“编辑文件类型”对话框,选择“Graphic Editor file ”后单击“OK ”按钮,进入MAX+PLUSII 图形编辑方式,其界面如图1.2所示。

图形编辑界面中的空白处,即原理图编辑区,相当于一张空白图纸,设计者可以在此画出自己的电路设计图。

图1.1 编辑文件类型对话框图形编辑器文件 符号编辑器文件 文本编辑器文件 波形编辑器文件图1.2图形编辑器界面在原理图编辑区的任何一个空白位置上双击鼠标的左键,将弹出一个“元件选择”对话框,如图1.3所示。

调出所需的器件后,连线,修改输入输出引脚的名称,存盘。

原理图如下:由此输入所需的元件名用户自己设置的元件库基本逻辑元件库老式宏函数元件库参数可设置的强函数元件库基本逻辑元件库的元件图1.3 元件选择对话框 图1.4 模12进制原理图2 编译执行“File ” →“Project ” →“Set Project Current File ”。

单击“MAX+plusII ” →“Compiler ”,在弹出的编译对话框中单击“Start ”按钮,即可对当前文件进行编译。

如果当前文件不存在问题,编译后的结果如图2.1所示。

在编译中,MAX+plusII 自动完成编译网表提取(Compiler Netlist Extractor )、数据库建立(Database Bulder )、逻辑综合(Logic Synthesizer )、逻辑分割(Partitioner )、适配(Fitter )、延时网表提取(Timing SNF Extractor )和编译文件汇编(Assembler )等操作,并检查设计文件是否正确。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验一利用原理图输入法设计4位全加器一、实验目的:熟悉如何在QuartusⅡ集成环境下利用原理图输入设计简单组合逻辑电路,掌握层次化的电路设计方法。

二、实验原理:一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的进位输入信号cin相接。

三、实验内容:1.QuartusII软件的熟悉熟悉QuartusⅡ环境下原理图的设计方法和流程,可参考课本5.4节的内容,重点掌握层次化的设计方法。

2.设计1位全加器原理图设计的原理图如下所示3.利用层次化原理图方法设计4位全加器(1)生成新的空白原理图,作为4位全加器设计输入(2)利用已经生成的1位全加器作为电路单元,设计4位全加器的原理图,如下所示以上为一位半加器以上为1位全加器以上为4位全加器4、设计一个超前进位4位全加器以上设计的全加器是基于串行进位的结构,高位的进位输入必须等待低位的运算结果,造成较长的延时。

通过对进位位进行超前运算,可以缩短这部分的延时。

在已有1位全加器的基础上设计一个具有超前进位结构的4位全加器,原理图如下所示以上为4位超前进位全加器5、完成设计流程(1)在QuartusII环境下对以上设计电路按照教材5.1节的流程进行编译,排除错误,生成最终配置文件。

(2)对结果进行时序仿真,观察设计的正确性(注意观察时序仿真波形中引入的延时),如有错误应改正电路,并重新执行整个流程,直到得到正确的仿真结果。

四、思考题1、你在原理图设计中使用的是哪一个库里面的元件,是否还有其他库可用,有什么不同?请试着用另外一个库重复以上的设计内容。

2、试用QuartusII下的时序分析器(教材11.3.7~11.3.8)分析两种进位结构的4位全加器的时序,给出数据对比,说明两者之间的性能差异。

以上为串行加法器仿真时序以上为超前进位加法器仿真时序对比两图可以看出超前进位加法器在相同时间内毛刺宽度比串行加法器短,更早进入稳定状态,说明超前进位加法器运行速度更快。

实验二简单组合电路的设计一、实验目的:熟悉QuartusⅡ境下以VHDL作为输入的设计全过程。

学习简单组合电路的设计、多层次电路设计、仿真和实际硬件电路测试的方法。

二、实验原理VHDL硬件描述语言是一种可以从多个层次上对数字逻辑电路进行建模的国际标准(IEEE),本次实验是用VHDL设计一个简单的数字组合逻辑电路,并结合QuartusⅡ环境和实验电路进行硬件测试。

三、实验内容:1)根据实验一中一位全加器的电路原理图,改用VHDL语言文本输入方法,设计一位全加器,要求采用结构化的描述方法。

设计完成后,利用QuartusⅡ集成环境进行时序分析、仿真,记录仿真波形和时序分析数据。

2)用VHDL语言设计一个四选一数据选择器电路。

要求先设计一个二选一数据选择器mux21,然后利用元件例化语句设计四选一数据选择器mux41,同样请给出时序分析数据和仿真结果。

四、思考题如果不使用元件例化语句,而是直接设计四选一数据选择器mux41,应如何用VHDL进行描述?见附录。

实验三简单时序电路的设计一、实验目的:掌握QuartusⅡ环境下以VHDL作为输入的整个设计过程,学习简单时序电路的设计、仿真和硬件测试方法。

二、实验原理时序逻辑电路是现代复杂数字电路的重要组成部分,往往占到整个设计的90%以上。

触发器是时序电路的基本单元,本实验中将涉及到边沿触发和电平触发两种电路结构,其中边沿触发是实际电路实现的主要方式。

三、实验内容1)设计一个上升沿触发的D触发器输入:D输出:Q触发时钟:CLK2)设计同步/异步清零D触发器触发器有两种清零方式:同步——当触发沿到来时,若清零信号有效,则实现清零;异步——任何时候清零信号一旦有效,触发器马上清零,而不论触发沿是否到来。

在以上设计的D触发器基础上,加入清零端rst,分别实现同步和异步清零方式。

3)设计一个高电平有效的锁存器输入:D输出:Q触发:E电平触发的锁存器与沿触发的触发器不同之处在于当触发端处于有效电平时,输出等于输出,随输入变化;触发端无效时输出保持不变。

4)在QuartusⅡ环境下对以上设计的模块进行编译,记录时序分析数据和仿真波形,并在实验电路上进行硬件测试。

请分析和比较1)和3)的仿真和实测结果,说明两者之间的异同点。

以上为高电平锁存器时序以上为上升沿锁存器时序相同点:两者均在上升沿时D=>Q,且时钟处于低电平时Q保持与高电平结束时相同的状态。

不同点:上升沿锁存器在两个上升沿之间Q保持不变,而高电平锁存器在高电平时Q随D变化。

四、思考题在本次实验中你使用的VHDL描述方式是和实验二中一样的结构化描述还是行为级描述?这两种方式描述的编译出来的仿真结果是否相同?采用行为级描述。

结果相同。

实验四异步清零和同步时钟使能的4位加法计数器一、实验目的:学习计数器的设计、仿真和实际硬件电路测试方法;进一步练习用VHDL语言设计数字逻辑电路。

二、实验原理下面给出的是本试验中所要设计的计数器的结构框图,由4位带异步清零的加法计数器和一个4位锁存器组成。

其中,rst是异步清零信号,高电平有效;clk是计数时钟;ENA为计数器输出使能控制。

当ENA为‘1’时,加法计数器的计数值通过锁存器输出;当ENA为‘0’时锁存器输出为高阻态。

三、实验内容1)用VHDL语言完成上述计数器的行为级设计。

可以采用分层描述的方式,分别设计计数器和输出锁存器模块,然后将两个模块组合成一个顶层模块。

注意输出锁存器输出高阻时的描述的方式。

2)用QuartusⅡ对上述设计进行编译、综合、仿真,给出其所有信号的仿真波形和时序分析数据。

3)通过QuartusⅡ集成环境,将设计下载到实验电路上进行硬件测试。

四、思考题如果需要设计带并行预置初始值的计数器,用VHDL应如何描述?见附录。

实验五七段数码显示译码器设计一、实验目的:学习7段数码显示译码器的设计和利用VHDL语言进行层次化电路设计的方法。

二、实验原理:七段数码管由8个(a,b,c,d,e,f,g,dp)按照一定位置排列的发光二极管构成,通常采取共阴极或者共阳极的设计,将8个二极管的同一极接在一起,通过分别控制另外的8个电极的电平,使二极管导通(发光)或截止(不发光)。

七段数码显示译码器的功能就是根据需要显示的字符,输出能够控制七段数码管显示出该字符的编码。

三、实验内容1)用VHDL设计7段数码管显示译码电路,并在QuartusⅡ平台下对设计的译码器进行时序仿真,给出仿真的波形。

2)数码管显示电路设计利用以上设计的译码器模块,设计一个可以在8个数码管上同时显示字符的电路。

快速轮流点亮8个数码管,这样就可以实现同时显示8个字符的效果(尽管实际上同一时间只有一个数码管被点亮)。

要实现以上功能,就必须按照一定时钟节拍,轮流使译码器输出所需要字符的编码;同时控制数码管的公共电极电平,轮流点亮数码管(可以使用上个实验设计的计数器,加实验板上的74ls138来实现,其中74ls138的译码输入端与FPGA的io相连,8个译码输出端分别与8个数码管的公共电极相连)。

段码同时输入到8个LED,有138译码器控制8个LED开关来实现动态显示。

试验箱没有一个模式满足以上原理,故本实验无法在试验箱上进行硬件测试。

3)用QuartusⅡ对2)中的设计进行编译、综合、仿真,给出其所有信号的仿真波形和时序分析数据。

4)通过QuartusⅡ集成环境,将设计下载到实验电路上进行硬件测试。

四、思考题尝试将74ls138的功能也用VHDL来实现,将所有逻辑功能都集成到FPGA里面,请写出其VHDL描述。

见附录。

实验六数控分频器的设计一、实验目的:学习数控分频器的设计和测试方法,熟练掌握利用VHDL语言进行数字逻辑电路设计的方法。

二、实验原理:数控分频器的功能为在不同输入信号时,对时钟信号进行不同的分频,在输出端输出不同频率的信号。

该电路可以用具有并行预置功能的加法计数器实现,方法是对应不同的输入信号,预置数(初始计数值)设定不同的值,然后用计数器的溢出信号作为输出信号或输出信号的控制值。

电路输出波形图:三、实验内容:1)根据试验原理编制实现数控分频器的VHDL程序。

提示:可以将计数器溢出信号输出给一个翻转触发器,溢出信号的边沿作为触发器的触发信号,触发器的输出就是分频器的输出(注意计数器初始计数值与输出频率之间的关系)。

2)用QuartusⅡ对设计进行编译、综合、仿真,给出仿真波形和时序分析数据。

分频值为D+1(D≥1),占空比为D/(D+1)×100%3)通过QuartusⅡ集成环境,将设计下载到实验电路上进行硬件测试。

输入不同的clk信号和不同的输入控制信号,测试输出波形。

四、思考题:如果需要进行奇数分频(如3分频),能否够保持输出波形的占空比为50%?如果不能,如何使占空比尽量接近50%;如果可以,应如何做?或许可以吧,本人不知道。

实验七 4位十进制频率计的设计一、实验目的:设计一个4位十进制频率计,学习用VHDL语言进行较为复杂的数字系统设计。

二、实验原理:根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1秒的脉冲计数允许信号,1秒计数结束后,计数值(即所测信号频率)锁入锁存器,并为下一次测频作准备,即将计数器清零。

三、实验内容:1)根据频率计的工作原理,将电路划分成控制器、计数器、锁存器和LED显示几个模块,顶层文件与模块电路均用VHDL硬件描述语言进行设计。

控制器——产生1秒脉宽的计数允许信号、锁存信号和计数器清零信号计数器——对输入信号的脉冲数进行累计锁存器——锁存测得的频率值LED显示——将频率值显示在数码管上顶层文件框图如下:用元件例化语句写出频率计的顶层文件。

2)分别用VHDL语言设计各个模块本实验中不少模块在之前的实验中已经有所涉及,只需要对以前的设计做部分修改即可用于这次实验。

提示:十进制计数器输出的应是4位十进制数的BCD码,因此一共的输出是4×4bit3)用QuartusⅡ对设计进行编译、综合、仿真,给出仿真波形和时序分析数据(不包括数码管显示部分)。

待测频率fsin=4KHz时得输出结果d=3990Hz,考虑到误差,故结果正确。

4)通过QuartusⅡ集成环境,将设计下载到实验电路上进行硬件测试。

四、思考题:本实验中的控制器部分可以用以前实验中的哪个电路来实现,其输出的rst和ena信号是否可以合并为一个信号?不可以,若rst与ena合并,计数器计数的值来不及锁存就被清零,那么最终导致频率计输出结果为0,故应在数据锁存后再清零。

控制器部分可以由数控分频器实验2分频得到。

实验八交通灯逻辑控制电路设计一、实验目的:设计一个交通灯逻辑控制电路,学习用VHDL语言进行较为复杂的数字系统设计,体会原理图设计与VHDL描述、Bottom-up与Top-down设计方法之间的异同。

相关文档
最新文档