EDA实验箱实验指导书
EDA实验指导书_2
EDA 技术与VHDL实验指导书通信实验室编制2012年9月实验一组合电路的设计 (3)实验二时序电路的设计.................................错误!未定义书签。
实验三8位全加器的设计................................错误!未定义书签。
实验四含异步清零和同步时钟使能的加法计数器的设计错误!未定义书签。
实验五十六进制七段数码显示译码器设计.错误!未定义书签。
实验六数控分频器的设计.............................错误!未定义书签。
实验七序列检测器的设计.............................错误!未定义书签。
实训一组合电路的设计一、实验目的熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
二、实验内容1: 首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤, 给出仿真波形。
最后在实验系统上进行硬件测试, 验证本项设计的功能。
2:将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述一个双2选1多路选择器, 并将此文件放在同一目录中。
三、实验仪器ZY11EDA13BE型实验箱通用编程模块, 配置模块, 开关按键模块, LED显示模块。
四、实验原理1.2选1多路选择器的VHDL源代码ENTITY mux21a ISPORT ( a, b, s: IN BIT;y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s = '0' THEN y <= a ; ELSE y <= b ;END IF;END PROCESS;END ARCHITECTURE one ;下图为本例2选1多路选择器的仿真图形2.双2选1多路选择器以下是部分参考程序:...COMPONENT MUX21APORT ( a, b, s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;...u1 : MUX21A PORT MAP(a=>a2, b=>a3, s=>s0, y=>tmp);u2 : MUX21A PORT MAP(a=>a1, b=>tmp, s=>s1, y=>outy);END ARCHITECTURE BHV ;五、实验报告:根据以上的实验内容写出实验报告, 包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。
EDA实验指导书1209
实验一QuartusII软件应用一、实验目的1、熟悉EDA开发平台的基本操作。
2、掌握EDA开发工具的图形设计方法。
3、掌握图形设计的编译与验证方法。
二、实验仪器PC机一台QuartusII软件三、实验内容1、实验原理图:建立一个4-bit 计数器图形设计文件(如图1.1示);图 1.1 图形设计例图利用向导创建一个新器件(6位全加器:使能、流水线等参数自行设定)。
2、实验步骤:①新建一个文件夹,一般在F盘里。
②打开QuartusII软件,选择File/New,在弹出的窗口中选Device Design Files选项卡,再选择Block Diagram/Schematic 选项,单击OK后打开图形编辑窗口。
③选择File/Save As命令,保存文件在已经创建的文件夹里。
当出现询问是否创建工程的窗口,应当单击是进入创建工程流程,否则要重新创建工程把文件添加进去。
④打开工程中的原理图文件,在原理图编辑窗口的任何一个位置右击,将出现快捷菜单,选择Insert /Symbol命令,出现元件输入对话框,选择相应的器件,并连接好电路,然后分别在input和output 的PIN NAME上双击使其变黑色,再分别输入引脚名。
⑤选择Processing/Start Compilation命令,进行全程编译。
⑥打开波形编辑器,选择File/New,在New中选择Other Files中的 Vector Waveform File 选项,单击OK,出现空白的波形编译窗口⑦选择File/Save As命令,存盘。
文件名一定要与原理图文件名一致。
然后添加相应的端口信号节点到波形编辑器中,设置合理的输入信号。
⑧选择Processing/Start Simulation,进行波形仿真。
⑨选择Tools/MegaWizard Plug-In M anager,根据向导提示创建一个6位全加器。
3、实验结果记录:打印出实验原理图与仿真波形图,打印出利用向导创建的新器件的图形,完成实验报告四、实验研究与思考1、延迟时间分析、最高工作频率分析等时间分析有何重要性?2、流水线的作用是什么?对那些性能有影响?2、功能仿真、验证起到什么作用?实验二VHDL软件设计一、实验目的1、熟悉EDA开发平台的基本操作。
电子设计自动化eda实验指导书样本
电子设计自动化(EDA)实验指引书前言近些年来,电子设计自动化(EDA)技术发展迅速。
一方面,各种大容量、高性能、低功耗可编程逻辑器件不断推出,使得专用集成电路(ASIC)生产商感受到空前竞争压力。
另一方面,浮现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路设计效率,使更低成本、更短周期复杂数字系统开发成为也许。
于是一场ASIC 与FPGA/CPLD之争在所难免。
然而PLD器件具备先天竞争优势,那就是可以重复编程,在线调试。
EDA技术正是这场较劲推动引擎之一。
普通来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目的器件,以电子系统设计为应用方向电子产品自动化设计过程。
设计者只需编写硬件描述语言代码,然后选取目的器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。
整个过程,大某些工作由EDA软件完毕。
全球许多知名可编程器件提供商都推出了自己集成开发工具软件,如Altera公司MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司Foundation 、ISE软件,Lattice公司ispExpert 软件,Actel公司Libero软件等。
这些软件推出,极大地增进了集算法设计、芯片编程、电路板设计于一体EDA技术发展。
此外,在以SOC芯片为目的器件电子系统设计规定下,可编程器件内部开始集成高速解决器硬核、解决器软核、DSP模块、大量存储资源、高速串行收发模块、系统时钟管理器、多原则I/O接口模块,亦使得设计者更加得心应手,新一轮数字革命由此引起。
EDA技术是一门实践性很强学科,要培养出具备竞争力一流IC 设计人才,动手能力是核心。
只有通过理论学习,加上现场实验,在使用软件编程加硬件调试过程中真正获得锻炼,增长技能。
ZY11EDA13BE型实验系统采用主板加适配板加扩展板灵活构造,可以便进行基于不同PLD芯片实验开发,并易于升级,符合当前高校在此方面对人才培养规定。
EDA电子实训实验指导书
石河子大学本科毕业设计实验指导书基于EDA平台的电子实训实验设计与开发学生姓名贺权指导教师任玲所在学院机械电气工程学院专业电气工程及其自动化年级11级(1)班中国·新疆·石河子2015年6月目录一、软件工具的安装 (2)二、实验部分 (3)实验一组合逻辑3-8译码器 (3)实验二汽车尾灯控制电路 (11)实验三、基于VHDL语言的数字秒表电路 (15)一、软件工具的安装本实验使用的是Quartus II 9.0,该软件可运行在winxp/win7/win8(包括兼容模式)等系统下,下载安装破解方法如下:1.在Altera公司官网上下载Quartus II 9.0的安装文件。
其中包括Quartus II 9.0的安装文件和ip库。
2.开始安装,win8以下的可以通过解压后得到安装文件,win8以上的直接双击打开就行,先安装90_quartus_windows,然后是90_ip_windows。
默认装在C盘,确保空间足够。
3.软件可免费试用30天。
鼓励购买正版,破解方法可百度。
4.用Quartus_II_9.0_b151破解器.exe破解C:\altera\90\quartus\bin下的sys_cpt.dll文件(运行Quartus_II_90_b151破解器.exe后,首先要点击“浏览”选中sys_cpt.dll,安装默认的sys_cpt.dll路径是在C:\altera\90\quartus\bin下,选中sys_cpt.dll后再点击“应用”。
很多用户上来就点击“应用”,实际上并没有破解这个软件)。
5.把license.dat里的XXXXXXXXXXXX 用您老的网卡号替换(在Quartus II7.2的Tools菜单下选择License Setup,下面就有NIC ID)。
6.在Quartus II 9.0的Tools菜单下选择License Setup,然后选择Licensefile,最后点击OK。
EDA实验指导书全
实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。
2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。
步骤一:1、建立工程,设计输入。
选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。
Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。
不作任何选择。
4、完成设置点击“Next”后,完成工程的设定,点击“finish”。
步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。
VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。
《EDA技术》实验指导书
EDA技术实验指导书适用于电子信息工程专业QUARTUS II 8.1软件的使用一、实验目的与要求:1、练习使用QUARTUS II 8.1软件,掌握利用该软件进行简单EDA设计的基本流程;2、完成一个通过拨码开关控制发光二极管亮灭的应用,实验结束后可独立完成思考题。
二、实验环境与器材:1、微机(已安装授权的QUARTUS II 8.1软件)2、EDA/SOPC实验开发系统3、USB Blaster下载线一根三、背景知识与操作流程:QUARTUS II是ALTERA公司推出的EDA开发工具,其前身为MAX PLUS II,目前实验室安装的版本为8.1,利用该软件可进行对可编程逻辑器件的分析、综合、下载等设计。
EDA/SOPC实验开发系统是由北京百科公司生产的一套EDA实验系统,其核心芯片采用ALTERA公司的CYCLONE系列FPGA产品EP1C6Q240C8,同时配备了丰富的外部接口资源,可供学生进行EDA设计实验。
启动QUARTUS后的界面如图1所示,首先需要创建一个工程,具体操作过程如下:图1 QUARTUS软件的启动界面(1)点击File –> New Project Wizard创建一个新工程,系统显示如图2。
图2 工程创建向导的启始页(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3所示;(3)点击Next,若目录不存在,系统可能提示创建新目录,如图4所示,点击“是”按钮创建新目录,系统显示如图5所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5)点击Next,进入设备选择对话框,如图6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8;(6)点击Next,系统显示如图7,提示是否需要其他EDA工具,这里不选任何其他工具;(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图8,在窗口左侧显示出设备型号和该工程的基本信息等。
EDA实验指导书
EDA 实验指导书2011年9月30日目录1.实验一LED实验(验证性实验)2.实验二LED点阵实验(综合性实验)3.实验三 LCD显示实验(设计性实验)实验一:LED实验一、实验目的1. 熟悉ISE8.2开发环境,掌握工程的生成方法;2. 熟悉SEED-XDTK_V4实验环境;二、实验内容1. 创建工程;2. 添加HDL资源文件;3. 配置一个应用程序完成设计。
三、实验准备1. 通过USB口下载电缆将计算机的USB口及SEED-FEM025板的J9 连接好;2. 启动计算机,打开SEED-XDTK_V4实验箱电源开关。
观察SEED-FEM025板上的+5V(D11)的电源指示灯是否均亮。
若有不亮的,请断开电源,检查电源。
四、实验步骤1. 创建工程1) 双击桌面Xilinx ISE8.2快捷方式打开ISE工程管理器(Project Navigator);2) 打开Project Navigator后,选择File → New Project,弹出新建工程对话框;3) 在工程路径中单击“…”按钮,将工程指定到如下目录D: \02. V4_lab,单击确定;4) 在工程名称中输入led,点击Next按钮,如图1.1所示;图1.15) 弹出器件特性对话框。
器件族类型(Device Family)选择“Virtex4”,器件型号(Device)选“XC4VSX25 FF668 -10”,综合工具(Synthesis T ool)选“XST(VHDL/Verilog)”,仿真器(Simulator)选“ISE Simulator”,如图1.2;图1.26) 单击Next按钮,弹出创建新资源(Create New Soure)对话框,可以使用这个对话框来创建新的HDL资源文件,或者也可以创建工程后,新建HDL资源文件,如图1.3;图1.37) 单击Next按钮,弹出添加存在资源对话框;可以使用这个对话框来添加已经存在的HDL资源文件,或者也可以创建工程后,添加HDL资源文件;在本实验中我们采用创建工程后,添加HDL资源文件的方式,如图1.4;图1.48) 单击Next按钮,将弹出工程建立完成对话框,单击finish按钮完成工程建立流程;9) 观察工程资源管理窗口,将会看到工程已经建立成功,如图1.5。
EDA 实验指导书
EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。
三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。
它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。
因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。
传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。
而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。
3(一)Max+plusⅡ10.0的使用。
1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。
第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。
EDA实验指导书
在EDA-VI实验箱底板上,采用了CPLD资源整合及与CPU板主控制器之间采用总线互联,其中地址总线和数据总线可以通过4位从CPU板到EDA-VI底板的功能选择位,实现多路复用,来决定是用作总线方式,还是IO方式。
EDA-VI实验用到的管脚资源及功能选择说明如下:一、EDA基本实验用到的管脚资源(约定:如不作特别声明,以下管脚均为E-PLAY-SOPC CPU板上FPGA芯片EP1C12的对应管脚)地址线:BUS_A[0] PIN_128 --8位数据时用,16位数据时BUS_A[0]没用到BUS_A[1] PIN_115BUS_A[2] PIN_114BUS_A[3] PIN_113BUS_A[4] PIN_108数据线:BUS_D[0] PIN_105BUS_D[1] PIN_104BUS_D[2] PIN_101BUS_D[3] PIN_100BUS_D[4] PIN_85BUS_D[5] PIN_84BUS_D[6] PIN_83BUS_D[7] PIN_82BUS_D[8] PIN_86BUS_D[9] PIN_87BUS_D[10] PIN_88BUS_D[11] PIN_93BUS_D[12] PIN_94BUS_D[13] PIN_95BUS_D[14] PIN_98BUS_D[15] PIN_99功能选择:VGA[0] PIN162VGA[1] PIN161VGA[2] PIN164VGA[3] PIN163EP1C12板上4位按键PB[0] PIN127PB[1] PIN126PB[2] PIN125PB[3] PIN124EP1C12板上复位按键RESET PIN131EP1C12板上4位LEDLED[0] PIN165LED[1] PIN166LED[2] PIN167LED[3] PIN168EP1C12板上4位拨码SW[0] PIN4SW[1] PIN5SW[2] PIN6SW[3] PIN7EP1C12板上50MHz晶振输入OSC PIN153EDA-VI底板上IO9到IO16在EP1C12上对应的引脚用于8位LED显示,将L1—L8分别连接到IO9—IO16data[0] PIN_132 IO9data[1] PIN_133 IO10data[2] PIN_134 IO11data[3] PIN_135 IO12data[4] PIN_136 IO13data[5] PIN_137 IO14data[6] PIN_138 IO15data[7] PIN_139 IO16PLL1_OUTn PIN_39 IO1PLL1_OUTp PIN_38 IO2CLK1p PIN_28 IO3CLK1n PIN_29 IO4LED0 PIN_165 IO5 (对应CPU板上的L0—L3)LED1 PIN_166 IO6LED2 PIN_167 IO7LED3 PIN_168 IO8EDA-VI底板上IO_CLK为4位拨码开关SW17—SW20来控制输出40M分频后的可调时钟具体对应如下SW17 — SW20 IO_CLK1 1 1 1 1Hz0 1 1 1 5Hz1 0 1 1 10Hz0 0 1 1 25Hz1 1 0 1 50Hz0 1 0 1 500Hz1 0 0 1 1KHz0 0 0 1 2.5KHz1 1 1 0 10KHz0 1 1 0 20KHz1 0 1 0 50KHz0 0 1 0 200KHz1 1 0 0 500KHz0 1 0 0 2MHz1 0 0 0 5MHz0 0 0 0 20MHzP1—P3未定义P4 10MHz固定时钟(40MHz分频产生)P5 1MHzP6 100KHzP7 5KHzP8 100Hz二、4位功能管脚说明:PORT B EP1C12 left right 对应标识41 —> 162 —> 77 —> 49 —> VGA[0]42 —> 161 —> 78 —> 50 —> VGA[1]43 —> 164 —> 79 —> 51 —> VGA[2]44 —> 163 —> 80 —> 52 —> VGA[3]left表示EDA-VI主板左边的CPLD EPM1270,right表示EDA_VI主板右边的CPLD EPM1270VGA[3..0] 0001 16位拨码开关接到16位数据总线上;0010 左端8个数码管,低8位为7位段总加小数点选取位,高8位为8个数码管com端选取,即如果要选取数码管0,则发送总线值为:11111110 1111 1111,如要选取数码管1,则发送总线值为:1111 1101 11111111.此时所选数码管7段和DP位将全部亮;0101 4X4键盘功能选取,此时只有最低的8位有效,高4位为键盘的4位行扫描输出,低4位为键盘的4位列查询输入;0110 16X16 LED点阵显示功能选取,16位数据总线作为点阵的行值,4位地址对应列值编码,(底板上已经过译码).4位地址分别对应E-Play-SOPC主适配器上外扩总线地址的ADDRESS[4..1];除以上4种状态外的其它状态,均为总线方式操作。
EDA实验指导书_实验指导06_EDA_硬件综合实验
“电子设计自动化(EDA)”实验指导书(六)一、实验课程编码:105009二、实验课程名称:电子设计自动化(EDA)三、实验项目名称:综合硬件实验二(带时分秒按键调整和静态数码管显示的数字钟)四、实验目的1)学会看硬件原理图,2)掌握FPGA硬件开发的基本技能3)培养EDA综合分析、综合设计的能力五、主要设备1)PC机,2)硬件实验箱,3)Max plusII软件开发平台。
六、实验内容1.6个数码管静态显示驱动2.按键模式选择(时\分\秒)与调整控制3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、显示译码模块、顶层模块。
要求使用实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
七、实验步骤1.打开Max plusII ,连接实验箱上的相关硬件资源,如下图1所示。
2.建立新文件,选择文本类型或原理图类型。
3.编写程序。
4.编译5.仿真,加载程序到芯片,观察硬件输出结果(数码管显示)6.结果正确则完成。
若结果不正确,则修改程序,再编译,直到正确。
图1 综合硬件实验二对应的硬件资源连接八、实验结果直接观察实验箱的数码管显示、操作按键进行控制和调整。
数字钟包括正常的时分秒计时,DS2B DS1B.125 H z.25 H z.5 H zH zH zH zH z4 H z56 H z024 H z096 H z6384 H z2768 H z5536 H z50 K H z.5 M H zM H zM H z2 M H z4 M H zHour 00-23 Minute 00-59Second 00-59实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒。
adjust 递增调整时分秒,mode按键循环调整模式。
新EDA 实验指导书
第一部分教学系统介绍一、硬件系统:(一)PC机:要求586或以上的IBM PC微机或兼容机,内存需64MB以上,硬盘需1G以上(二)ZYE1502D型实验箱1、芯片下载板:Altera公司: EPM7128SLC84—15下载板(数字部分)Altera公司: EPF10K10LC84-4下载板(数字部分)Lattice公司:ispLSI1032E-70LJ下载板(数字部分)Lattice公司:ispPAC10-01PI下载板(模拟部分)Lattice公司:ispPAC20-01JI下载板(模拟部分)Lattice公司:ispPAC80-01PI下载板(模拟部分)Xilinx公司: XC95108-15PC84C下载板(数字部分)注:本实验箱数字模块部分以Altera公司的EPF10K10LC84-4下载板为标准配置,本实验指导书的实验均以该下载板为基础书写。
2、数码管显示:动态显示8位(M1-M8),静态显示4位(M5-M8);3、发光二极管输出:16位;4、输入位数(开关):16位;5、输入位数(按键):16位;6、时钟信号:由50MHz、12MHz、4.194304MHz晶振输出;7、喇叭一个;8、配有RS232接口;9、配有VGA接口;10、配有PS/2键盘接口;11、16×16点阵;12、配有并行A/D转换器ADC0809;并行D/A转换器DAC0832;13、配有存贮器62256;14、配有单片机(AT89C51)15、配有一片管理芯片EPM7128;16、含有一块通用下载模块;17、含有8038低频信号源输出模块;二、配套软件:Max Plus II10.2版1、运行环境 Win95/98或NT4.0 6、全仿真/功能仿真支持2、层次化设计支持 7、逻辑综合支持3、原理图输入支持 8、硬件编程/下载支持4、文本输入支持 9、原理图设计宏库基本库5、AHDL、VHDL输入支持 10、支持芯片 CPLD/FPGA系列注:Max Plus II10.2版软件的安装请参看第二章中的具体介绍。
EDA技术实验指导书(印刷版)
EDA技术实验指导书长沙学院电子与通信工程系2007年8月目录实验一简单逻辑电路实验 (1)实验二2选1多路选择器 (4)实验三D触发器设计 (5)实验四1位二进制全加器设计 (6)实验五4位加法计数器 (9)实验六7段数码显示译码器设计 (10)实验七带有复位和时钟使能的十进制计数器 (12)实验八带有并行置位的移位寄存器 (14)实验九较复杂电路的原理图设计 (16)实验十数控分频器的设计 (18)实验十一8位十六进制频率计设计 (20)实验十二基于LPM_COUNTER的数控分频器设计 (23)实验十五正弦信号发生器设计与LPM定制 (31)附录 (33)实验一简单逻辑电路实验一、实验目的1.学习Create-SOPC实验平台的使用方法;2.熟悉Quartus II 软件平台和使用VHDL 语言设计电路的方法;3.学习简单逻辑电路的设计、仿真和硬件测试。
二、实验内容运用Quartus II 集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证。
三、实验步骤及参考程序1.创建个人实验文件夹(最好使用英文字母命名不要用中文或数字名称)如:D:\example2.运行Quartus Ⅱ软件。
3.创建一个VHDL设计文件:File -> New,在Device Design Files 中选择VHDL File。
在程序编辑窗输入VHDL程序并保存;在弹出对话框中输入文件名(必须和程序中实体名相同)并使下方小框内出现“√”,点击保存会弹出“是否创建新工程”提示信息如图1-1所示。
图1-1 图1-24.创建一个新工程:点击图1-1中“是”可进入创建工程向导(也可以File -> New project Wizard进入向导),此时看到的默认工程名、顶层实体名都为h_adder(其中工程名可换名但顶层实体名必与编写的程序实体名一致),如图1-2。
EDA实习指导书
EDA/PLD实习指导书辽宁科技大学电信学院目录实验一 熟悉Quartus Ⅱ的使用 (1)实验二 138译码器设计 (5)实验三 由component实现4-16译码器 (8)实验四 由symbol实现4-16译码器 (11)实习 FPGA串行通用异步收发器设计 (13)EP1k30TC144引脚说明 (34)实验箱管脚分配表 (39)报告要求 (41)实验一 熟悉Quartus Ⅱ的使用一、目的1、利用Quartus Ⅱ自带的一个加减法设计熟悉Quartus Ⅱ的使用方法;2、熟练掌握VHDL 语言的输入、编译、时序分析、时序约束调试方法。
二、内容更详细的说明可以参考Quartus Ⅱ中help PDF tutorials 中的PDF tutorial for VHDL user 使用说明。
一个16位加减法器的框图如图1所示。
这电路可以完成n 位2进制数的加减运算(该例程中假定n 等于16)。
其中021a a a A n n "−−=,021b b b B n n "−−=为输入,021z z z Z n n "−−=为输出,具体的功能如下:1、启动Quartus Ⅱ软件,新建一个工程文件,具体方法是依次选择file > new project wizard ,在弹出的新建项目窗体中,指定工作目录、项目名和顶层实体名(在这里指定为addersubtractor ),然后点击next 。
2、由于在这里不需要增加已经设计好的文件,因此在新出现的增加设计文件窗口中直接选择next 。
3、在新出现的选择器件体中,选择器件为Stratix Ⅲ中的EP3SZ50F484C2,点击next 。
4、由于我们仅使用Quartus 作为调试仿真工具,因此在接下来的选择调试工具窗体中,直接点next 。
5、新建一个vhdl 语言文件,并输入如下程序,并保存。
注意保存的文件名应该与前面定义的顶层实体名一致(该文件可以在C:\altera\81\qdesigns\vhdl_verilog_tutorial 文件夹中找到)。
EDA实验指导书
实验一QuartusII软件和实验箱控制软件EDA2000使用流程[实验目的]1.掌握CPLD/FPGA的开发软件QuartusII的操作流程;2.掌握实验箱控制软件EDA2000的使用,熟悉EDA2000的实验箱结构。
[实验仪器]PC机1台QuartusII 软件1套EDA2000实验箱一个,并行电缆、串行电缆各一根。
[实验步骤]QuartusII软件使用世界各大FPGA/CPLD生产商都有自己的EDA开发环境,也有专业的第三方EDA软件。
ALTERA公司的QuartusII是Altera公司的第四代EDA集成开发环境,它操作方便、功能强大,提供了原理图输入和HDL语言输入功能,在环境中可以完成编译、查错、设计驱动信号、逻辑功能模拟、时序功能模拟、对FPGA/CPLD芯片编程以及SOPC的设计开发等功能。
下面以V erilog HDL语言输入设计为例,一步一步描述在QuartusII开发环境中如何完成FPGA/CPLD开发的设计流程。
本实验中利用V erilogHDL在FPGA里面设计一个与门,请同学们按照以下步骤操作:a)在e盘新建一个目录e:\fpga\experiment1,用于存放本次实验中的设计文件。
b)按图1-1操作打开QuartusII 7.2软件图1-1c)界面如下图1-2d)点击菜单File–〉New Project Wizard出现如下图,点击Next图1-3e) 在出现的对话框中按下图设置:f)点击Next ,出现如下对话框,本对话框要求加入文件到项目中,由于是新建项目,还没有设计文件,故空着,点击Next 。
项目顶层文件名称,通常与项目名称一致g)选择选择器件:这里的器件就是指每个设计所使用的FPGA或CPLD芯片,ALTERA公司具有代表性的FPGA为FLEX 10K系列的EPFl0K10LC84-4。
具有代表性的CPLD为MAX7000S系列的EPM7128SLC84-15。
《EDA技术与应用》实验指导书(新)
《EDA技术与应用》实验指导书第一部分基础实验实验一 EDA软件的熟悉与使用一、实验目的1.熟悉ALTERA公司EDA设计工具软件Quartus II的使用方法。
2.熟悉EDA技术实验箱的结构与组成。
二、实验原理参考教材Quartus II开发软件的使用方法。
三、实验仪器1.计算机2.EDA技术实验箱四、实验内容1.在教师指导下完成Quartus II软件的安装,熟悉Quartus II软件主要菜单命令功能。
2.熟悉EDA技术实验箱结构、组成,了解各模块的基本作用,了解I/O分布情况。
3.参考1位半加器的设计实例,按照设计流程完成新建项目文件、编译、仿真、分配引脚、编程下载等操作,掌握采用Quartus II软件设计流程。
五、实验报告1.绘制出Quartus II软件设计的详细流程图。
2.描述Quartus II软件是如何进行目标器件选择,I/O分配和锁定引脚的。
3.描述Quartus II软件Help菜单功能,如何有效地使用它。
4.写出EDA技术实验箱的I/O分布情况。
六、思考题在进行一个完整的实验流程时应注意些什么?实验二 1位半加器的设计一、实验目的1.掌握Quartus II软件设计流程。
2.熟悉原理图输入设计方法。
二、实验原理1位半加器可以用一个与门、一个异或门组成。
设加数和被加数分别为a、b,和为so、进位co,则半加器表达式为:co=a and b;so=a xor b。
三、实验仪器1.计算机(预装Quartus II软件)2.EDA技术实验箱。
四、实验内容1.为本项工程设计建立一个文件夹任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的文件的文件夹,此文件夹将被EDA软件默认为工作库(Work Library)。
一般不同的设计项目最好放在相应的文件夹中,注意,一个设计项目可以包含多个设计文件。
例如,本项设计的文件夹取名为h_adder,路径为E:\ h_adder。
EDA实验指导书
实验三 简易一位数码显示系统(4 小时)
一 实验目的 1. 掌握典型数码显示系统组成及工作原理。 2. 掌握基本组合逻辑电路的 FPGA 实现。 3. 熟练应用 Quartus II 进行 FPGA 开发。 硬件需求 1.EDA/SOPC 实验箱一台。 实验原理
二 三
图 3-1 一位数码显示系统 图 3-1 中 0~9 对应十个拨段开关(或按钮) ,bmq 为二-十进制编码器,负责对 0~9 进行二十制编码 (例如 8421 码) , xian 为显示译码器。 具体原理参见数字电子技术教材或相关课件。 四 实验内容 本实验要完成的任务是设计一个一位数码显示器。 具体的实验过程就是利用 EDA/SOPC 实验箱上的拨挡开关模块的 K1~K9 作为电路输入,电路输出接八段码显示器,K1~K9 若 无动作则显示 0,否则显示对应数字。 五 实验步骤 完成四位全加器的实验步骤如下: 1.首先打开 Quartus II 软件,新建一个工程,并新建一个 VHDL File。 2.按照自己的想法,编写 VHDL 程序 3.对自己编写的 VHDL 程序进行编译并仿真。 4.仿真无误后,根据附录一的引脚对照表,对实验中用到的拨挡开关 及 LED 进行管脚绑定,然后再重新编译一次。 5.用下载电缆通过 JTAG 接口将对应的 sof 文件下载到 FPGA 中。 6.观察实验结果是否与自己的预期想法相吻合。
二 三
S
4 R 5
B 6 Q S
5 6
B 4 Q
图 4-1 基本触发器电路图
成,也可以由两个或非门交叉耦合组成。现在以两个与非门组成的基本触发器为例,来分析 其工作原理。根据与非逻辑关系,可以得到基本触发器的状态转移真值表及简化的真值表, 如下表 2-2 所示: 状态转移真值表 简化真值表
EDA实验箱实验指导书
实验二流水灯1.实验目的通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL 语言的编程方法;学习简单的时序电路的设计和硬件测试。
2.实验内容本实验的内容是控制实验箱上的发光二极管LED1—LED8,使之实现流水灯显示。
3.实验原理在LED1~LED8引脚上周期性地输出流水数据,即输出的数据依次为11111111、11111110、11111100、11111000、11110000、11100000、11000000、10000000、00000000,如此循环显示,输出数据“0”,表示点亮相应的LED小灯。
为了方便观察,流水的速率控制在2Hz左右。
在核心板上有一个48MHz的标准时钟源,该时钟源与芯片EP2C5的23脚相连。
为了产生2Hz的时钟源,在此调用了分频模块int_div。
4.实验步骤(1)启动Quartus II,建立一个空白工程,然后命名为led_waterflow.qpf。
(2)新建ledwater.vhd源程序文件,源代码如下。
然后进行综合编译。
若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。
生产符号文件ledwater.bsf (File→ Create/_Update → Create Symbol Files for Current File)。
流水灯程序参考LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_Arith.ALL;USE IEEE.STD_LOGIC_Unsigned.ALL;ENTITY ledwater ISPORT(clk: IN STD_LOGIC;led: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END;ARCHITECTURE one OF ledwater ISSIGNAL led_r:STD_LOGIC_VECTOR(8 DOWNTO 0);BEGINled<=led_r(7 DOWNTO 0);PROCESS(clk)BEGINIF clk’event and clk=’1’ THENled_r<=led_r(7 DOWNTO 0) & '0';IF led_r="000000000" THEN --循环完毕吗?led_r<="111111111"; --是,则重新赋初值END IF;END IF;END PROCESS;END;(3)将实验模块库里的int_div.vhd和int_div.bsf拷贝到工程目录下。
EDA技术实验指导书
电子系统设计与EDA技术实验指导书实验须知:1.实验前认真阅读实验任务书,明确实验目的、内容及要求等;2.实验过程中要注意爱护实验设备,按要求接线、按步骤操作;3.要养成严谨科学的实验态度和勇于探索的实验精神,注重实践创新,对于在实验中遇到的困难,鼓励独立思考,用新思路、新方法解决;4.实验完成后,按学院资料入库标准认真完成实验报告,撰写实验报告要实事求是,严禁抄袭。
目录实验一MAX+plusⅡ的基本应用 (4)实验二简单组合逻辑设计 (6)实验三数码管扫描显示电路 (8)实验四简单时序逻辑电路设计 (11)实验六 4位二进制加法器设计 (13)实验七彩色LED组跑马灯的实现 (14)实验九数字钟的设计 (17)实验一MAX+plusⅡ的基本应用一、实验目的:1.熟悉MAX+plusⅡ的基本操作;2.掌握MAX+plusⅡ环境下的设计输入方法;3.熟悉相关的元件库以及功能模块的应用。
二、实验内容及步骤:1.通过2选1多路选择器的设计,熟悉VHDL文本输入的设计流程。
1)安装MAX+plusⅡ;2)启动MAX+plusⅡ(注意:第一次运行MAX+PLUSII时,需进行License设置。
从Option 菜单中选择License Setup进入License设置窗口,单击Browse按钮,选择License.dat文件即可);3)按照以下流程完成2选1多路选择器的VHDL设计:设计输入→保存→建立设计项目→编译→创建默认符号;2.通过1位二进制全加器的设计,熟悉图形输入设计流程。
1)半加器图形设计文件输入(后缀为.gdf)→保存→建立设计项目→编译→创建默认符号;2)顶层文件设计:全加器图形设计文件输入→保存→建立设计项目→编译→引脚分配及锁定→功能仿真→时序分析;三、实验要求:1.实验前预习2选1多路选择器的VHDL程序以及全加器的原理图;2.按照实验步骤完成本次实验任务,记录主要的设计流程;3.分析全加器功能仿真的波形;4.认真完成实验报告。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验二流水灯1.实验目的通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL 语言的编程方法;学习简单的时序电路的设计和硬件测试。
2.实验内容本实验的内容是控制实验箱上的发光二极管LED1—LED8,使之实现流水灯显示。
3.实验原理在LED1~LED8引脚上周期性地输出流水数据,即输出的数据依次为11111111、11111110、11111100、11111000、11110000、11100000、11000000、10000000、00000000,如此循环显示,输出数据“0”,表示点亮相应的LED小灯。
为了方便观察,流水的速率控制在2Hz左右。
在核心板上有一个48MHz的标准时钟源,该时钟源与芯片EP2C5的23脚相连。
为了产生2Hz的时钟源,在此调用了分频模块int_div。
4.实验步骤(1)启动Quartus II,建立一个空白工程,然后命名为led_waterflow.qpf。
(2)新建ledwater.vhd源程序文件,源代码如下。
然后进行综合编译。
若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。
生产符号文件ledwater.bsf (File→ Create/_Update → Create Symbol Files for Current File)。
流水灯程序参考LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_Arith.ALL;USE IEEE.STD_LOGIC_Unsigned.ALL;ENTITY ledwater ISPORT(clk: IN STD_LOGIC;led: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END;ARCHITECTURE one OF ledwater ISSIGNAL led_r:STD_LOGIC_VECTOR(8 DOWNTO 0);BEGINled<=led_r(7 DOWNTO 0);PROCESS(clk)BEGINIF clk’event and clk=’1’ THENled_r<=led_r(7 DOWNTO 0) & '0';IF led_r="000000000" THEN --循环完毕吗?led_r<="111111111"; --是,则重新赋初值END IF;END IF;END PROCESS;END;(3)将实验模块库里的int_div.vhd和int_div.bsf拷贝到工程目录下。
(4)新建图形文件,命名为led_waterflow.bdf并保存。
在空白处双击鼠标,打开symbol 对话框,在symbol对话框的左上角的libraies中,分别将project下的ledwater和int_div模块放在图形文件led_waterflow.bdf中,加入输入input、输出output引脚,双击各引脚符号,进行引脚命名。
完整的顶层模块原理图如下图1所示。
双击int_div中的参数框,并修改参数,如下图2所示。
将F_DIV的值改为24000000,F_DIV_WIDTH的值改为25,单击“确定”按钮保存修改的参数。
图流水灯顶层模块图参数修改对话框如果在led_waterflow.bdf上不能看到参数设置框,在空白出右击鼠标,选择Show Parameter Assignments命令来显示参数设置框。
(5)将实验模块选择目标器件并对相应的引脚进行锁定,这里选择的器件为Altera公司的Cyclone II系列的EP2C5Q208C8,或者Cyclone I系列的EP1C12Q240C8。
引脚锁定方法如下表所列。
(6)将led_waterflow.bdf设置成顶层实体Project→ Set as Top-level Entity。
对该工程文件进行全程编译处理,若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。
(7)把JP20的led0~led7的跳帽插上,使发光二极管有效。
下载程序到芯片上,观察流水灯的变化。
更改分频模块的分频系数,并重新编译下载,观察流水灯的变化。
表引脚锁定方法实验三读取按键信号1.实验目的通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL 语言的编程方法;并熟悉VHDL文件为顶层模块的设计;学习和体会分支条件语句case的使用方法及FPGA I/O口的输出控制。
2.实验内容实验箱上有8个发光二极管LED1~LED8和8个按键KEY1~KEY8。
本实验的内容是用这8个按键分别控制8个发光二极管,一旦有键按下,则点亮相应的发光二极管。
3.实验原理FPGA的所有I/O控制块允许每个I/O引脚单独配置为输入口,不过这种配置是系统自动完成的。
当该I/O口被设置为输入口使用时(如定义key1为输入引脚:input),该I/O控制块将直接使三态缓冲的控制端接地,使得该I/O引脚对外呈高阻态,这样该I/O引脚即可用作专用输入引脚。
正确分配并锁定引脚后,一旦在KEY1~KEY8中有键输入,即可在检测到键盘输入的情况下,继续判断其键盘并作出相应的处理。
4.实验步骤(1)启动Quartus II,建立一个空白工程,然后命名为key_led.qpf。
(2)新建key_led.vhd源程序文件,源代码如下。
然后进行综合编译。
若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。
程序参考LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_Arith.ALL;USE IEEE.STD_LOGIC_Unsigned.ALL;ENTITY keyled ISPORT(key: IN STD_LOGIC_VECTOR(7 DOWNTO 0);led: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END;ARCHITECTURE one OF keyled ISSIGNAL led_r: STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL buffer_r:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINled<=led_r;PROCESS(key,buffer_r)BEGINbuffer_r<=key;CASE buffer_r ISWHEN "11111110"=> led_r<="11111110";WHEN "11111101"=> led_r<="11111101";WHEN "11111011"=> led_r<="11111011";WHEN "11110111"=> led_r<="11110111";WHEN "11101111"=> led_r<="11101111";WHEN "01111111"=> led_r<="01111111";WHEN OTHERS=> led_r<="11111111";END CASE;END PROCESS;END;(3)选择目标器件并对相应的引脚进行锁定,这里选择的器件为Altera公司的Cyclone II系列的EP2C5Q208C8,或者Cyclone I系列的EP1C12Q240C8。
引脚锁定方法如下表所列。
表引脚锁定方法(4)对该工程文件进行全程编译处理,若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。
(5)JP6是一个3针的插座,如果把短接帽接到下面,使下面两个插针短接,则按键有效;如果把短接帽接到上面,使上面两个插针短接,则矩阵键盘有效。
本实验令按键有效,把短接帽接到下面。
把JP20的led0~led7的跳帽插上,使发光二极管有效。
下载程序到芯片上,按下KEY1~KEY8的任何一键,观察发光二极管LED1~LED8的亮灭状态。
实验四静态数码管显示1.实验目的通过本实验让学生学习7段数码管显示译码器的设计,进一步了解、熟悉和掌握FPGA 开发软件Quartus II的使用方法及VHDL语言的编程方法,学习LPM兆功能模块的调用。
2.实验内容实验箱上有2个4位动态共阳极数码管LEDD4和LEDD3,其中8个位码DIG0~DIG7和8位段码SEG0~SEG7分别与FPGA相应的引脚相连。
这样只要DIG0~DIG7上一直输出低电平“0”,则8个数码管将显示相同的数码,这样8位动态数码管就变成了静态数码管。
本实验的内容是建立7段译码显示模块,用于控制LED数码管的静态显示。
要求在试验箱上循环显示0~9和A~F 16个字符。
3.实验原理数码管LED显示是工程项目中使用较广的一种输出显示器件。
常见的数码管有共阴和共阳两种。
共阴数码管是将8个发光二极管的阴极连接在一起作为公共端,而共阳数码管是将8个发光二极管的阳极连接在一起作为公共端。
公共端常称为位码,而将其它8位称为段码,分别为:a、b、c、d、e、f、g、h,其中h为小数点。
对于共阳极数码管,只要公共端为高电平“1”,某个段输出为低电平“0”,则相应的段就亮。
本实验通过分频模块得到1Hz的频率信号,加载于4位计数器的时钟输入端,计数循环输出0~9和A~F 16个字符,通过7段译码模块后在数码管上显示出来。
4.实验步骤(1)启动Quartus II,建立一个空白工程,然后命名为sled.qpf。
(2)新建decl7s.vhd源程序文件,源代码如下。
然后进行综合编译。
若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。
生产符号文件decl7s.bsf (File→ Create/_Update → Create Symbol Files for Current File)。
程序参考LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY decl7s ISPORT(d: IN STD_LOGIC_VECTOR(3 DOWNTO 0); --输入4位二进制码seg: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) --七段译码输出);END;ARCHITECTURE ONE OF decl7s ISSIGNAL seg_r:STD_LOGIC_VECTOR(7 DOWNTO 0); --定义数码管输出寄存器BEGINseg<=seg_r; --输出数码管译码结果PROCESS(d) --七段译码BEGINCASE d ISWHEN X"0"=> seg_r<=X"c0";--显示0WHEN X"1"=> seg_r<=X"f9";--显示1WHEN X"2"=> seg_r<=X"a4";--显示2WHEN X"3"=> seg_r<=X"b0";--显示3WHEN X"4"=> seg_r<=X"99";--显示4WHEN X"5"=> seg_r<=X"92";--显示5WHEN X"6"=> seg_r<=X"82";--显示6WHEN X"7"=> seg_r<=X"f8";--显示7WHEN X"8"=> seg_r<=X"80";--显示8WHEN X"9"=> seg_r<=X"90";--显示9WHEN X"a"=> seg_r<=X"88";--显示aWHEN X"b"=> seg_r<=X"83";--显示bWHEN X"c"=> seg_r<=X"c6";--显示cWHEN X"d"=> seg_r<=X"a1";--显示dWHEN X"e"=> seg_r<=X"86";--显示eWHEN X"f"=> seg_r<=X"8e";--显示fWHEN OTHERS=> seg_r<=X"FF";END CASE;END PROCESS;END;(3)将实验模块库里的int_div.vhd和int_div.bsf拷贝到工程目录下。