EDA实验指导书1
电子设计自动化(eda)实验指导书
电子设计自动化(EDA)实验指导书前言近些年来,电子设计自动化(EDA)技术发展迅速。
一方面,各种大容量、高性能、低功耗的可编程逻辑器件不断推出,使得专用集成电路(ASIC)的生产商感受到空前的竞争压力。
另一方面,出现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路的设计效率,使更低成本、更短周期的复杂数字系统开发成为可能。
于是一场ASIC与FPGA/CPLD之争在所难免。
然而PLD器件具有先天的竞争优势,那就是可以反复编程,在线调试。
EDA技术正是这场较量的推动引擎之一。
一般来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。
设计者只需编写硬件描述语言代码,然后选择目标器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。
整个过程,大部分工作由EDA软件完成。
全球许多著名的可编程器件提供商都推出了自己的集成开发工具软件,如Altera 公司的MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司的Foundation 、ISE软件,Lattice公司的ispExpert软件,Actel公司的Libero 软件等。
这些软件的推出,极大地促进了集算法设计、芯片编程、电路板设计于一体的EDA技术的发展。
另外,在以SOC芯片为目标器件的电子系统设计要求下,可编程器件的内部开始集成高速的处理器硬核、处理器软核、DSP模块、大量的存储资源、高速的串行收发模块、系统时钟管理器、多标准的I/O接口模块,亦使得设计者更加得心应手,新一轮的数字革命由此引发。
EDA技术是一门实践性很强的学科,要培养出具有竞争力的一流IC设计人才,动手能力是关键。
只有通过理论学习,加上现场实验,在使用软件编程加硬件调试的过程中真正获得锻炼,增长技能。
ZY11EDA13BE型实验系统采用主板加适配板加扩展板的灵活结构,可方便进行基于不同PLD芯片的实验开发,并易于升级,符合当前高校在此方面对人才培养的要求。
EDA实验指导书
EDA技术实验指导书2012.09第一章针对HDL设计的EDA基本实验与设计实验1.计数器设计(1) 实验目的:熟悉Quartus II的Verilog/VHDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。
掌握原理图与文本混合设计方法。
(2) 实验原理:参考教材[1]3.3节。
实验程序为例(3) 实验内容1:根据教材[1]的4.1节在Quartus II上对例3-22进行编辑、编译、综合、适配、仿真。
说明例中各语句的作用。
给出其所有信号的时序仿真波形,根据波形详细描述此设计的功能特点,包括RST、EN、LOAD、DA TA,CLK等信号等异步和同步特性。
查阅编译后的计数器的时序特点,从时序仿真图和编1 原理图示意图译报告中了解计数时钟输入至计数数据输出的延时情况,包括设定不同优化约束后的改善情况以及当选择不同FPGA后的延时情况,给出分析报告。
(4) 实验内容2:用教材[1]第4章介绍的不同方式锁定引脚并硬件下载测试。
引脚锁定后进行编译、下载和硬件测试实验。
将实验过程和实验结果写进实验报告。
硬件实验中,注意测试所有控制信号和显示信号,包括RST、EN、LOAD、DA TA等的同步、异步特性,进位信号等。
时钟CLK换不同输入:手动有抖动或无抖动键输入,1Hz或4Hz时钟脉冲输入,这需要附录1.3的模块B4板才能获得,或直接使用KX_DN5/7系列EDA/SOPC实验系统。
(5) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考教材[1]第4章,给出报告。
(6) 实验内容4:从设计中去除SignalTap II,要求全程编译后,将生成的SOF文件转变成用于配置器件EPCS4的压缩的间接配置文件*. jic,并使用USB-Blaster对实验板上的EPCS4进行编程,最后进行验证。
编程和全程编译前,按教材[1]图4-6所示,设定所有控制和参数。
(7) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端CLK0。
EDA实验指导书
实验一熟悉QUARTUS Ⅱ的设计过程[输入方式:文本输入、图形输入、波形输入等]一、实验目的:1、掌握QUARTUSⅡ安装过程;2、熟悉QUARTUSⅡ设计环境;3、掌握QUARTUSⅡ的设计过程。
二、实验内容及步骤(一)、安装QUARTUS II。
注:第一次安装QUARTUS要安装license。
(二)、QUARTUSⅡ设计开发步骤一、创建工作文件夹在windows中建立一个文件夹(又称工作库或WORK LIBRARY),用于保存设计工程项目的有关文件。
注:设计工程项目的有关文件不能保存在根目录下,必须保存在一个文件夹之下。
例如建立的文件夹:E:\mux.二、启动Quartus II点击QUARTUSⅡ7.0图标打开QUARTUSⅡ7.0设计窗口。
三、设计文件输入1、打开输入文件编辑器点击菜单File\new…新建立一个文本设计文件。
用文本输入法输入程序。
程序见附录。
2、保存文件,文件名名同实体名。
后缀.VHD四、逻辑综合1、创建工程点击菜单File\New Project Wizard…….进行工程设置。
2、编译设置⑴选择PLD芯片:Assignmenmts\Settings\Device弹出的窗口中选择选择芯片。
⑵选择配置芯片的工作方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中首选General项,在Options栏中选择Auto-restart-configuration after error.⑶选择配置芯片和编程方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Configuration栏,在窗口中设置配置方式,配置芯片和是否生成压缩的配置文件。
⑷选择输出设置:保持默认。
⑸选择目标器件闲置引脚的状态:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Unused Pins栏,在窗口中对闲置的引脚设置,推荐设置为As output driving groud。
EDA实验指导书全
实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。
2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。
步骤一:1、建立工程,设计输入。
选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。
Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。
不作任何选择。
4、完成设置点击“Next”后,完成工程的设定,点击“finish”。
步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。
VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。
EDA指导书1
一、数字系统设计的概念1、数字系统的电路层次门电路:CMOS门电路组合逻辑电路:以基本逻辑门组成的无反馈的单方向性电路。
对时间而言,只有延迟的概念,没有确定的时间逻辑。
时序逻辑电路:在电路中存在输出到输入的反馈。
具有逻辑时序的概念、即引入了时钟的概念。
时序电路分同步电路和异步电路两类。
同步电路系统以触发器为基本时序电路单元,利用统一的时钟对电路系统进行统一的控制。
异步电路利用专门的协调和仲裁电路进行统一管理。
我们目前使用的数字系统电路都是同步电路,其中也有一些异步的概念,如异步置位和异步复位等。
真正的异步电路系统是没有―时钟‖的。
数字系统电路:以触发器和功能组合电路组成单元电路——寄存器。
在逻辑关系上分成两部分,控制器和处理器。
在较大的系统中又分成―内核‖和―外壳‖。
2、数字系统设计的分类专用集成电路(ASIC :Application Specific Integrated Circuit)设计可编程电路(FPGA:Field Programable Generric Array、CPLD:Complex Programmable Logic Devise)设计电路板设计(PCB:Printed Circuit Board)3、数字电路系统的一般描述方式逻辑代数方法:用逻辑函数、状态方程表示电路。
是一种数学形式的描述,可以对简单的逻辑单元进行化简,具有数学的演绎功能。
在保持电路功能不变的情况下,可以演变出多种形式。
并与具体电路有一定的对应关系。
图表方法:用真值表、状态表、卡诺图、状态图、ASM图、框图等表示数字电路的逻辑功能。
与具体电路无直接对应关系。
图形符号方法:用电路器件符号组成的电路图。
波形时序图方法:用信号对时间的函数曲线表示电路功能,主要用表示电路信号间的时间关系。
上述各种方法与人们理解、设计数字电路的各种需要有关。
如果涉及制造电路,还需要更多的描述方法。
如电路网表、电路制版、布线等。
EDA 实验指导书
EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。
三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。
它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。
因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。
传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。
而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。
3(一)Max+plusⅡ10.0的使用。
1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。
第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。
最终 EDA上机指导书1
EDA技术上机实验指导书山东建筑大学信息与电气工程学院前言一、实验目的本实验课程的目的,旨在通过上机实验,使学生加深理解EDA技术的基本方法,帮助和培养学生建立利用原理图和硬件描述语言进行电路设计的基本方法和利用EDA工具软件(MAX+plusⅡ)设计简单数字电子系统的能力,为以后从事有关数字电子系统方面的设计和研究开发工作打下基础。
二、实验前预习每次实验前,学生须仔细阅读本实验指导书的相关内容,明确实验目的和实验内容;明确实验原理与步骤;复习与实验内容有关的理论知识;预习仪器设备的使用方法、操作规程及注意事项。
三、实验注意事项1.实验开始前,应先检查本人的计算机是否安装相关软件,了解其软件的使用方法和要求。
2.实验时每个同学应单独设计程序、操作、记录实验结果等,使每个同学受到全面训练。
3.测量数据或观察现象要认真细致,实事求是。
使用计算机要符合操作规程,切勿随便重启频繁开关计算机。
4.未经许可,不得动用其它人的仪器设备或计算机等物。
5.实验结束后,实验记录交指导教师查看并认为无误后,离开机房。
最后,应清理计算机,备份编写程序。
6.爱护公物,发生仪器设备等损坏事故时,应及时报告指导教师,按有关实验管理规定处理。
7.自觉遵守学校和实验室管理的其它有关规定。
四、实验总结每次实验后,应对实验进行总结,即实验数据进行整理,绘制波形和图表,分析实验现象,撰写实验报告。
实验报告除写明学院、班级、姓名、学号、课程、实验日期、实验名称外,还应包括:1.实验目的2.实验仪器与设备3.实验内容4.实验原理与步骤5.实验结果与分析目录实验一半加器设计 (1)实验二全加器设计 (5)实验三译码器设计 (8)实验四数据选择器设计 (11)实验五触发器设计 (14)实验六计数器设计 (16)实验七计数译码系统电路设计 (19)实验八BCD数加法系统电路设计 (24)实验一半加器设计一、实验目的1、学习MAX+plusⅡ工具软件的基本功能和使用方法。
EDA实验指导书(100109)
实验一Multisim9设计应用一、实验目的1、熟悉Multisim9的使用环境和Multisim9使用一般步骤。
2、了解电子EDA技术的基本概念。
3、掌握模拟、数字电子电路的设计与仿真方法。
二、实验仪器PC机一台Multisim9软件三、实验内容1、实验电路图(1)半波整流电容滤波电路仿真实验原理如图1.1。
图1.1实验电路(2)数字全加器电路如图1.2图1.2全加器逻辑图2、实验步骤①进入Windows 环境并建立用户文件夹。
②双击Multisim9图标进入Multisim9主窗口,创建仿真实验电路③绘制设计电路(如图1.1、1.2所示):从相应库中拖拽出所需元器件和仪器仪表安放于合适的位置,然后利用工具栏的转动按钮使元器件符合电路的安放要求;点击元件引脚端点拉出引线至另一元件引脚端点即可连线;双击元件打开元件特性对话框,给元件标识、赋值;保存。
(用A键控制开关)④设置虚拟仪器仪表:电压表、示波器(选择合适的扫描时间、垂直幅值和水平偏移量即可)、字信号发生器(编辑输出字信号的首末地址:首地址为0000,将Initial 置为0000;末地址为0007,将Final 置为0007;设置字信号输出方式:设置为单帧输出方式,以便于输出一帧后,进行查看;只要将Burst 钮按下即可设置输出频率,可设定为 1 KHz)和设置逻辑分析仪(可采用系统默认设置,在运行后,调整水平时间刻度数值,使在波形记录区记录到适当宽度的波形信号即可)。
⑤保存⑥仿真:单击运行开关、启动电路运行。
观察1.1电路中开关断开和闭合时示波器的波形以及电压表和电流表的读数;双击逻辑分析仪图标,显示其面板,适当调整时间刻度值( 如调到4 ) ,就可看到输出波形。
3、结果记录①自行设计纪录表格,记录1.1开关断开和闭合时的两个电压表的读数,并打印两个电压表在开关断开和闭合时候的波形图.②自行设计纪录表格,记录1.2的结果数据,并打印波形图.四、实验研究与思考字信号发生器的触发信号有何特点,如何设置?实验二Protel99SE 原理图设计一、实验目的1、掌握Portel 99 SE电路原理图设计工具,图纸设置;2、掌握设置网格、电气节点和光标的方法;3、掌握装载元器件库、放置、编辑和调整元器件的方法;4、通过实例熟悉电路图的设计过程,掌握具体电路的设计方法。
EDA实验指导书
EDA实验指导书计算机科学与技术系2008.09目录实验一Quartus II 开发环境实验 (3)实验二半加器 (18)实验三全加器 (19)实验四多路数据选择器 (21)实验五编码器 (23)实验六7段数码管控制接口 (25)实验七计数器 (28)实验八MOORE机 (30)实验九MEALY机 (34)实验十串入/并出移位寄存器 (38)实验十一并入/串出移位寄存器 (40)实验十二数字钟 (42)实验一Quartus II 开发环境实验一、实验目的:1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解可编程器件设计的全过程。
二、实验步骤:Quartus II软件的基本操作与应用三、实验内容:学习Quartus II软件的基本操作并设计3人表决器一.Quartus II 7.2 启动◆方法一、直接双击桌面上的图标,可以打开Quartus II 7.2 软件;◆方法二、执行:【开始】→【程序】→【Altera】→【Quartus II 7.2】→【Quartus II 7.2 TalkBackInstall】菜单命令,可以打开软件。
◆启动软件后,若你的电脑没有连接到Internet互联网,会出现提示,提示你没有连接到Altera的官方网站,将无法获得更新的资源。
点击〖确定〗继续,因为这不影响软件的正常使用。
二.Quartus II 7.2软件界面Quartus II 7.2软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。
三.Quartus II 7.2软件使用1. 新建项目工程使用QuartusII7.2设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。
EDA技术实验指导书(印刷版)
EDA技术实验指导书长沙学院电子与通信工程系2007年8月目录实验一简单逻辑电路实验 (1)实验二2选1多路选择器 (4)实验三D触发器设计 (5)实验四1位二进制全加器设计 (6)实验五4位加法计数器 (9)实验六7段数码显示译码器设计 (10)实验七带有复位和时钟使能的十进制计数器 (12)实验八带有并行置位的移位寄存器 (14)实验九较复杂电路的原理图设计 (16)实验十数控分频器的设计 (18)实验十一8位十六进制频率计设计 (20)实验十二基于LPM_COUNTER的数控分频器设计 (23)实验十五正弦信号发生器设计与LPM定制 (31)附录 (33)实验一简单逻辑电路实验一、实验目的1.学习Create-SOPC实验平台的使用方法;2.熟悉Quartus II 软件平台和使用VHDL 语言设计电路的方法;3.学习简单逻辑电路的设计、仿真和硬件测试。
二、实验内容运用Quartus II 集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证。
三、实验步骤及参考程序1.创建个人实验文件夹(最好使用英文字母命名不要用中文或数字名称)如:D:\example2.运行Quartus Ⅱ软件。
3.创建一个VHDL设计文件:File -> New,在Device Design Files 中选择VHDL File。
在程序编辑窗输入VHDL程序并保存;在弹出对话框中输入文件名(必须和程序中实体名相同)并使下方小框内出现“√”,点击保存会弹出“是否创建新工程”提示信息如图1-1所示。
图1-1 图1-24.创建一个新工程:点击图1-1中“是”可进入创建工程向导(也可以File -> New project Wizard进入向导),此时看到的默认工程名、顶层实体名都为h_adder(其中工程名可换名但顶层实体名必与编写的程序实体名一致),如图1-2。
EDA实验指导书1
第一部分图形法设计部分实验一:组合逻辑3-8译码器的设计(Quartus II软件的基本操作与应用)说明:本书将以实验一为例详细介绍Altera公司Quartus II软件的基本应用,其它实验将不再赘述。
读者在通过本实验后将对Quartus II软件及CPLD的设计与应用有一个比较完整的概念和思路。
在此因篇幅有限,仅仅介绍了Quartus II软件的最基本、最常用的一些基本功能。
相信读者在熟练使用本软件以后,你会发现该软件还有好多非常方便、快捷、灵活的设计技巧与开发功能。
一、实验目的:1、掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步掌握Quartus II软件的基本操作与应用。
4、初步了解可编程器件的设计全过程。
二、实验步骤:(一)设计输入1)打开Quartus II 8.0开发软件,选择File-〉New Project Wizad。
弹出工程向导对话框,点击Next。
2)在第一行位置指定工程要保存的目录,如D:\Training,在第二行位置填入工程名decoder38和顶层实体名decoder38,再点击Next。
3)点击Next。
4)若实验所使用的是Cyclone系列的“EP2C35Q672C6”,点击Finish,工程创建完成。
此时只是配置了与工程相关的一些基本设置,在开发过程中如需要,仍然可以通过菜单Assignments ->Settings来修改。
5)新建文件:打开File->New,选择Device Design Files子类中的Block Diagram/Schematic File,点击OK,创建一个顶层文件。
6)出现下面所示界面,在界面上双击鼠标左键7)出现下图界面,点击Libraries框内的Project左侧加号,出现下拉菜单8)选择74138或者直接输入74138,点击OK将模块放置在刚刚建立的Block界面上。
10)在空白处点击鼠标左键,在Name处输入output点击OK,将输出模块加入到文件中去,同样方法再添加一个output。
EDA实验指导书
实验一 MAX+PLUSII软件的使用[实验目的] 掌握MAX+PLUSII软件的使用。
[实验内容] 学习MAX+PLUSII软件的设计操作步骤。
[实验原理] MAX+PLUSII软件介绍。
MAX+PLUSII软件功能简介:1 原理图输入(Graphic Editor)MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。
2 硬件描述语言输入(Text Editor)MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。
3 波形编辑器(waveform Editor)在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。
4 编译与仿真当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。
5 器件编程当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。
[实验步骤]设计过程如下:1)输入项目文件名(File/Project/Name)2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式)(Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor)3)指定CPLD型号(Assign/Device)4)设置管脚、下载方式和逻辑综合的方式(Assign/Global Project Device Option,Assign/Global Logic Synthesis)5)保存并检查源文件(File/project/Save & Check)6)指定管脚(Max+plusⅡ/Floorplan Editor)7)保存和编译源文件(File/project/Save & Compile)8)生成波形文件(Max+plusⅡ/Waveform Editor)9)仿真(Max+plusⅡ/Simulator)10)下载配置(Max+plusⅡ/Programmer)[实验报告要求]不做要求。
EDA实验指导书
实验一QuartusII软件和实验箱控制软件EDA2000使用流程[实验目的]1.掌握CPLD/FPGA的开发软件QuartusII的操作流程;2.掌握实验箱控制软件EDA2000的使用,熟悉EDA2000的实验箱结构。
[实验仪器]PC机1台QuartusII 软件1套EDA2000实验箱一个,并行电缆、串行电缆各一根。
[实验步骤]QuartusII软件使用世界各大FPGA/CPLD生产商都有自己的EDA开发环境,也有专业的第三方EDA软件。
ALTERA公司的QuartusII是Altera公司的第四代EDA集成开发环境,它操作方便、功能强大,提供了原理图输入和HDL语言输入功能,在环境中可以完成编译、查错、设计驱动信号、逻辑功能模拟、时序功能模拟、对FPGA/CPLD芯片编程以及SOPC的设计开发等功能。
下面以V erilog HDL语言输入设计为例,一步一步描述在QuartusII开发环境中如何完成FPGA/CPLD开发的设计流程。
本实验中利用V erilogHDL在FPGA里面设计一个与门,请同学们按照以下步骤操作:a)在e盘新建一个目录e:\fpga\experiment1,用于存放本次实验中的设计文件。
b)按图1-1操作打开QuartusII 7.2软件图1-1c)界面如下图1-2d)点击菜单File–〉New Project Wizard出现如下图,点击Next图1-3e) 在出现的对话框中按下图设置:f)点击Next ,出现如下对话框,本对话框要求加入文件到项目中,由于是新建项目,还没有设计文件,故空着,点击Next 。
项目顶层文件名称,通常与项目名称一致g)选择选择器件:这里的器件就是指每个设计所使用的FPGA或CPLD芯片,ALTERA公司具有代表性的FPGA为FLEX 10K系列的EPFl0K10LC84-4。
具有代表性的CPLD为MAX7000S系列的EPM7128SLC84-15。
《EDA技术与应用》实验指导书(新)
《EDA技术与应用》实验指导书第一部分基础实验实验一 EDA软件的熟悉与使用一、实验目的1.熟悉ALTERA公司EDA设计工具软件Quartus II的使用方法。
2.熟悉EDA技术实验箱的结构与组成。
二、实验原理参考教材Quartus II开发软件的使用方法。
三、实验仪器1.计算机2.EDA技术实验箱四、实验内容1.在教师指导下完成Quartus II软件的安装,熟悉Quartus II软件主要菜单命令功能。
2.熟悉EDA技术实验箱结构、组成,了解各模块的基本作用,了解I/O分布情况。
3.参考1位半加器的设计实例,按照设计流程完成新建项目文件、编译、仿真、分配引脚、编程下载等操作,掌握采用Quartus II软件设计流程。
五、实验报告1.绘制出Quartus II软件设计的详细流程图。
2.描述Quartus II软件是如何进行目标器件选择,I/O分配和锁定引脚的。
3.描述Quartus II软件Help菜单功能,如何有效地使用它。
4.写出EDA技术实验箱的I/O分布情况。
六、思考题在进行一个完整的实验流程时应注意些什么?实验二 1位半加器的设计一、实验目的1.掌握Quartus II软件设计流程。
2.熟悉原理图输入设计方法。
二、实验原理1位半加器可以用一个与门、一个异或门组成。
设加数和被加数分别为a、b,和为so、进位co,则半加器表达式为:co=a and b;so=a xor b。
三、实验仪器1.计算机(预装Quartus II软件)2.EDA技术实验箱。
四、实验内容1.为本项工程设计建立一个文件夹任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的文件的文件夹,此文件夹将被EDA软件默认为工作库(Work Library)。
一般不同的设计项目最好放在相应的文件夹中,注意,一个设计项目可以包含多个设计文件。
例如,本项设计的文件夹取名为h_adder,路径为E:\ h_adder。
《EDA技术及应用》实验指导书
实验一组合逻辑器件设计一、实验目的1、通过一个简单的3-8译码器的设计,掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解QUARTUS II原理图输入设计的全过程。
二、实验主要仪器与设备1、输入:DIP拨码开关3位。
2、输出:LED灯。
3、主芯片:EP1K10TC100-3。
三、实验内容及原理三-八译码器即三输入,八输出。
输出与输入之间的对应关系如表1-1-1所示。
表1-1 三-八译码器真值表四、预习要求做实验前必须认真复习数字电路中组合逻辑电路设计的相关内容(编码器、译码器)。
五、实验步骤1、利用原理图设计输入法画图1-1-1。
2、选择芯片ACEX1K EP1K10TC100-3。
3、编译。
4、时序仿真。
5、管脚分配,并再次编译。
6、实验连线。
7、编程下载,观察实验结果。
图1-1 三-八译码器原理图六、实验连线用拨码开关的低三位代表译码器的输入(A,B,C),将之与EP1K10TC100-3的管脚相连;用LED灯来表示译码器的输出(D0~D7),将之与EP1K10TC100-3芯片的管脚相连。
拨动拨档开关,可以观察发光二极管与输入状态的对应关系同真值表中所描述的情况是一致的。
七、实验结果八、思考题在输入端加入使能端后应如何设计?附:用硬件描述语言完成译码器的设计::LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY T2 ISPORT(A: IN STD_LOGIC_VECTOR(2 DOWNTO 0);Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END T2;ARCHITECTURE A OF T2 ISBEGINWITH A SELECTY <= "00000001" WHEN "000","00000010" WHEN "001","00000100" WHEN "010","00001000" WHEN "011","00010000" WHEN "100","00100000" WHEN "101","01000000" WHEN "110","10000000" WHEN OTHERS;END A;实验二组合电路设计一、实验目的1、掌握组合逻辑电路的设计方法。
eda实验1指导手册
第一个实验正确的操作步骤,其他的不说了,直接主题了:电路:公式:=so+b aabco=ab实验步骤:点File->New Project Wizard,弹出下图,按page 1、2、3、4、5 of 5,分布设置以下5个对话框。
点击Finish结束。
在Project Navigator卡中呈现如下:点按钮,出现下列对话框,选择蓝色部分,点ok出现点符号,出现下框,并选择primitives库,在Name出输入相应的名称,获得元件图后,点击OK,就能在图形输入框中把元件逐个放入。
并用连线。
结果如下点击工具栏上的图标,对原理图进行编译,当出现如下信息,编译成功。
在点击,出现下图,选择蓝色部分,点击ok在右边出现waveform1.vmf文件内容:在如下框内鼠标右击,出现insert node or bus,点击。
出现:点击Node Finder按钮,点击list,在nodes found卡中出现a、b、co、so端口,选中所有,按键,将所有节点放入Selected Nodes卡中,点击OK结果如下:选中入口a,如图呈现天蓝色,点击左边的按钮,出现clock对话框,设置Period值,重复操作入口b结果如下:保存vwf文件点击Assignment->setting->simulator setting,选择Simulation mode模式为functional,并确认simulation input为对应vwf文件。
点击Processing->Generation Functional Simulation Netlist,注意这个一定要点,否则出现的结果有错误,就像课堂上老师犯的错误一样。
出现成功对话框,按确定即可。
点击,开始仿真,结果如下:按确定,查看仿真结果,比较真值表中数据,看是否正确。
做完这个部分,如果要把半加器封装成一个模块,可以点击file->creat/update->create symbol files for current file, 弹出如下对话框,设置默认名保存即可。
EDA技术实验指导书
电子系统设计与EDA技术实验指导书实验须知:1.实验前认真阅读实验任务书,明确实验目的、内容及要求等;2.实验过程中要注意爱护实验设备,按要求接线、按步骤操作;3.要养成严谨科学的实验态度和勇于探索的实验精神,注重实践创新,对于在实验中遇到的困难,鼓励独立思考,用新思路、新方法解决;4.实验完成后,按学院资料入库标准认真完成实验报告,撰写实验报告要实事求是,严禁抄袭。
目录实验一MAX+plusⅡ的基本应用 (4)实验二简单组合逻辑设计 (6)实验三数码管扫描显示电路 (8)实验四简单时序逻辑电路设计 (11)实验六 4位二进制加法器设计 (13)实验七彩色LED组跑马灯的实现 (14)实验九数字钟的设计 (17)实验一MAX+plusⅡ的基本应用一、实验目的:1.熟悉MAX+plusⅡ的基本操作;2.掌握MAX+plusⅡ环境下的设计输入方法;3.熟悉相关的元件库以及功能模块的应用。
二、实验内容及步骤:1.通过2选1多路选择器的设计,熟悉VHDL文本输入的设计流程。
1)安装MAX+plusⅡ;2)启动MAX+plusⅡ(注意:第一次运行MAX+PLUSII时,需进行License设置。
从Option 菜单中选择License Setup进入License设置窗口,单击Browse按钮,选择License.dat文件即可);3)按照以下流程完成2选1多路选择器的VHDL设计:设计输入→保存→建立设计项目→编译→创建默认符号;2.通过1位二进制全加器的设计,熟悉图形输入设计流程。
1)半加器图形设计文件输入(后缀为.gdf)→保存→建立设计项目→编译→创建默认符号;2)顶层文件设计:全加器图形设计文件输入→保存→建立设计项目→编译→引脚分配及锁定→功能仿真→时序分析;三、实验要求:1.实验前预习2选1多路选择器的VHDL程序以及全加器的原理图;2.按照实验步骤完成本次实验任务,记录主要的设计流程;3.分析全加器功能仿真的波形;4.认真完成实验报告。
《EDA》实验指导书
EDA技术与应用实验指导书实验一MAX+PLUSII 软件使用实验一 实验目的1 熟悉MAX+PLUSII 软件的使用2 了解图形法的设计过程 二 实验内容1 在MAX+PLUSII 环境下,执行“File ”→“New ”命令,弹出如图1.1所示的“编辑文件类型”对话框,选择“Graphic Editor file ”后单击“OK ”按钮,进入MAX+PLUSII 图形编辑方式,其界面如图1.2所示。
图形编辑界面中的空白处,即原理图编辑区,相当于一张空白图纸,设计者可以在此画出自己的电路设计图。
图1.1 编辑文件类型对话框图形编辑器文件 符号编辑器文件 文本编辑器文件 波形编辑器文件图1.2图形编辑器界面在原理图编辑区的任何一个空白位置上双击鼠标的左键,将弹出一个“元件选择”对话框,如图1.3所示。
调出所需的器件后,连线,修改输入输出引脚的名称,存盘。
原理图如下:由此输入所需的元件名用户自己设置的元件库基本逻辑元件库老式宏函数元件库参数可设置的强函数元件库基本逻辑元件库的元件图1.3 元件选择对话框 图1.4 模12进制原理图2 编译执行“File ” →“Project ” →“Set Project Current File ”。
单击“MAX+plusII ” →“Compiler ”,在弹出的编译对话框中单击“Start ”按钮,即可对当前文件进行编译。
如果当前文件不存在问题,编译后的结果如图2.1所示。
在编译中,MAX+plusII 自动完成编译网表提取(Compiler Netlist Extractor )、数据库建立(Database Bulder )、逻辑综合(Logic Synthesizer )、逻辑分割(Partitioner )、适配(Fitter )、延时网表提取(Timing SNF Extractor )和编译文件汇编(Assembler )等操作,并检查设计文件是否正确。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA实验指导书天津大学仁爱学院2011年9月30日目录1.实验一LED实验(验证性实验)2.实验二LED点阵实验(综合性实验)3.实验三LCD显示实验(设计性实验)实验一:LED实验一、实验目的1.熟悉ISE8.2开发环境,掌握工程的生成方法;2.熟悉SEED-XDTK_V4实验环境;二、实验内容1.创建工程;2.添加HDL资源文件;3.配置一个应用程序完成设计。
三、实验准备1.通过USB口下载电缆将计算机的USB口及SEED-FEM025板的J9连接好;2.启动计算机,打开SEED-XDTK_V4实验箱电源开关。
观察SEED-FEM025板上的+5V(D11)的电源指示灯是否均亮。
若有不亮的,请断开电源,检查电源。
四、实验步骤1.创建工程1)双击桌面Xilinx ISE8.2快捷方式打开ISE工程管理器(Project Navigator);2)打开Project Navigator后,选择File→New Project,弹出新建工程对话框;3)在工程路径中单击“…”按钮,将工程指定到如下目录D:\02.V4_lab,单击确定;4)在工程名称中输入led,点击Next按钮,如图1.1所示;图1.15)弹出器件特性对话框。
器件族类型(Device Family)选择“Virtex4”,器件型号(Device)选“XC4VSX25FF668-10”,综合工具(Synthesis Tool)选“XST(VHDL/Verilog)”,仿真器(Simulator)选“ISE Simulator”,如图1.2;图1.26)单击Next按钮,弹出创建新资源(Create New Soure)对话框,可以使用这个对话框来创建新的HDL资源文件,或者也可以创建工程后,新建HDL资源文件,如图1.3;图1.37)单击Next按钮,弹出添加存在资源对话框;可以使用这个对话框来添加已经存在的HDL资源文件,或者也可以创建工程后,添加HDL资源文件;在本实验中我们采用创建工程后,添加HDL资源文件的方式,如图1.4;图1.48)单击Next按钮,将弹出工程建立完成对话框,单击finish按钮完成工程建立流程;9)观察工程资源管理窗口,将会看到工程已经建立成功,如图1.5。
图1.52.添加HDL资源文件1)选择Project→Add Source命令,弹出的文件添加对话框;或者右键单击Sources中的led弹出的文件添加对话框,如图1.6;图1.62)在弹出的对话框中将文件添加路径指向D:\02.V4_lab.2\led文件夹下,选择led.v文件,单击Open按钮,如图1.7;图1.73)在弹出的对话框框中单击OK,如图1.8;图1.84)单击OK按钮。
资源文件添加完成如图1.9。
图1.93.设计实现1)在工程的资源操作窗(Processes),双击Implement Design;如图1.10图1.102)当设计实现(Implement Design)运行的过程中,展开设计实现命令(ImplementDesign),会看到实现过程中,首先是进行综合(Synthesis),然后才依次完成实现的步骤。
4.管脚约束实现与重新实现1)工程的Sources窗口,右键单击Sources中的led.v文件,弹出的文件添加对话框,如图1.11;图1.112)添加led.ucf文件,顺序与上述添加HDL资源文件相类似如图1.12-1.14;图1.12鼠标左键单击“打开(O)”图1.13鼠标左键单击“OK”图1.14鼠标左键单击“led左侧+”3)在Processes窗口,扩展User Constraints并双击Assign Package Pins打开PACE,如图1.15;注意在PACE能启动之前必须先进行综合。
图1.154)在PACE中浏览Design Object List-I/O Pins窗口,可看到所列的信号名称和信号方向是Output还是Input。
在Loc栏里每个信号对应的FPGA的管脚。
信号连接如下,在FPGA 的管脚分配需查看原理图,如图1.16;CLK_IN:管脚编号为AF12LED_DATA[0]:管脚编号为AD20其余管脚在此就不一一列出了。
图1.165)在所有信号链接完成后,然后选择保存文件,如图1.17箭头所示位置;图1.176)在Device Architecture窗口放大直到可以看清每个管脚;如图1.18;注意:图中粉红色彩条说明管脚在同一个bank中。
单击每个蓝色I/O管脚,则对应着Design Object List-I/O Pins窗口相应的管脚。
图1.187)单击菜单栏中的File→Exit,退出PACE;8)单击工程中Sources窗口中的led.ucf文件,然后双击Prosesses窗口中User Constraints目录下的Edit Constraints(Text),就可以看到由PACE生成的led.ucf管脚约束文件;当然我们也可以使用该命令,直接在文本中编写led.ucf文件;图1.199)在工程的资源操作窗(Processes),双击Implement Design;对设计重新实现;10)本例程中led.ucf文件早已存在,我们可以使用上文介绍过的文件添加方式将led.ucf文件直接添加到工程文件中。
5.下载配置FPGA1)在工程的Sources窗口单击顶层文件LED.v文件,展开Processes窗口中的GenerateProgramming File,双击Configure Device(iMPACT),如图1.20;图1.202)弹出Welcome iMPACT对话框后,选择“Configure devices usingBoundary-Scan(JTAG)”,单击Finish按钮,如图1.21;图1.213)在弹出的器件添加对话中,第一个器件选择bypass按钮,如图1.22;第二个器件也选择bypass按钮,如图1.23;图1.22图1.234)最后一个器件单击所要下载的led.bit文件(此文件所在目录不可有中文),单击Open按钮,如图1.24;会弹出如图1.25的“Add Virtex-/II Pro/Virtex04...”对话框,单击OK按钮后弹出一个警告信息,单击OK按钮;图1.24图1.255)单击xc4vsx25这个device,变成绿色,且iMPACT Processes Operations窗口出现可执行的操作;如图1.26和1.27图1.26图1.276)在图1.27中,双击iMPACT Processes Operations窗口中的Program,或者在图1.26中,直接右键单击xc4vsx25这个device,选择Program。
会弹出Programming Properties对话框,如图1.28所示,单击OK按钮;图1.28程序开始下载,如果下载程序成功则出现Program Succeeded,否则如果失败则出现Program Failed,需要重新查找问题(尝试重新下载或者断电后重新下载程序);7)程序下载成功后,可观察到SEED-XDTK_MBOARD板卡上LED灯依次点亮。
五、实验程序led.v`timescale1ns/1ps/////////////////////////////////////////////////////////////////////////////////Company://Engineer://Create Date://Design Name://Module Name:led//Project Name://Target Devices://Tool versions://Description://Dependencies://Revision://Revision0.01-File Created//Additional Comments:///////////////////////////////////////////////////////////////////////////////`define DIV_FACTOR14'h3f98module led(CLK_IN,nRST,CPLD_E,LED_DATA,AD_nCS,DA_nCS,USB_nCS,LCD_nCS);input CLK_IN;input nRST;output[1:0]CPLD_E;output[7:0]LED_DATA;output USB_nCS;output AD_nCS;output DA_nCS;output LCD_nCS;reg CLK_OUT=1'b1;reg[7:0]LED_DATA=8'h00;reg[13:0]DIV_counter=14'h000;reg[11:0]clk_counter=12'h000;//CPLD功能切换assign CPLD_E=2'b10;//其他芯片功能禁止assign USB_nCS=1'b1;assign AD_nCS=1'b1;assign DA_nCS=1'b1;assign LCD_nCS=1'b1;always@(posedge CLK_IN)beginif(!nRST)beginCLK_OUT<=1'b1;DIV_counter<=10'h000;endelsebeginif(DIV_counter<=`DIV_FACTOR)beginDIV_counter<=DIV_counter+1;endelsebeginDIV_counter<=12'h000;CLK_OUT<=!CLK_OUT;endendendalways@(posedge CLK_OUT)beginclk_counter=clk_counter+1;case(clk_counter)12'h001:LED_DATA<=8'h01;12'h17D:LED_DATA<=8'h02;12'h2fa:LED_DATA<=8'h04;12'h477:LED_DATA<=8'h08;12'h5f4:LED_DATA<=8'h10;12'h771:LED_DATA<=8'h20;12'h96e:LED_DATA<=8'h40;12'hb6b:LED_DATA<=8'h80;12'hce8:clk_counter=10'h000;default:clk_counter=clk_counter;endcaseendendmodule六、思考题:1FPGA的中英文全称各是什么?Field Programmable Gate Array现场可编程门阵列2实验箱所用FPGA型号是什么?共有多少个引脚?引脚可以分为哪几类?Xilinx Virtex4-SX25160个引脚3概述对FPGA进行编程下载的流程。