EDA实验指导书
EDA实验指导书_2
EDA 技术与VHDL实验指导书通信实验室编制2012年9月实验一组合电路的设计 (3)实验二时序电路的设计.................................错误!未定义书签。
实验三8位全加器的设计................................错误!未定义书签。
实验四含异步清零和同步时钟使能的加法计数器的设计错误!未定义书签。
实验五十六进制七段数码显示译码器设计.错误!未定义书签。
实验六数控分频器的设计.............................错误!未定义书签。
实验七序列检测器的设计.............................错误!未定义书签。
实训一组合电路的设计一、实验目的熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
二、实验内容1: 首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤, 给出仿真波形。
最后在实验系统上进行硬件测试, 验证本项设计的功能。
2:将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述一个双2选1多路选择器, 并将此文件放在同一目录中。
三、实验仪器ZY11EDA13BE型实验箱通用编程模块, 配置模块, 开关按键模块, LED显示模块。
四、实验原理1.2选1多路选择器的VHDL源代码ENTITY mux21a ISPORT ( a, b, s: IN BIT;y : OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a,b,s)BEGINIF s = '0' THEN y <= a ; ELSE y <= b ;END IF;END PROCESS;END ARCHITECTURE one ;下图为本例2选1多路选择器的仿真图形2.双2选1多路选择器以下是部分参考程序:...COMPONENT MUX21APORT ( a, b, s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;...u1 : MUX21A PORT MAP(a=>a2, b=>a3, s=>s0, y=>tmp);u2 : MUX21A PORT MAP(a=>a1, b=>tmp, s=>s1, y=>outy);END ARCHITECTURE BHV ;五、实验报告:根据以上的实验内容写出实验报告, 包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。
EDA实验指导书
实验一 MAX+PLUSII软件的使用[实验目的] 掌握MAX+PLUSII软件的使用。
[实验内容] 学习MAX+PLUSII软件的设计操作步骤。
[实验原理] MAX+PLUSII软件介绍。
MAX+PLUSII软件功能简介:1 原理图输入(Graphic Editor)MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。
2 硬件描述语言输入(Text Editor)MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。
3 波形编辑器(waveform Editor)在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。
4 编译与仿真当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。
5 器件编程当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。
[实验步骤]设计过程如下:1)输入项目文件名(File/Project/Name)2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式)(Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor)3)指定CPLD型号(Assign/Device)4)设置管脚、下载方式和逻辑综合的方式(Assign/Global Project Device Option,Assign/Global Logic Synthesis)5)保存并检查源文件(File/project/Save & Check)6)指定管脚(Max+plusⅡ/Floorplan Editor)7)保存和编译源文件(File/project/Save & Compile)8)生成波形文件(Max+plusⅡ/Waveform Editor)9)仿真(Max+plusⅡ/Simulator)10)下载配置(Max+plusⅡ/Programmer)[实验报告要求]不做要求。
电子设计自动化(eda)实验指导书
电子设计自动化(EDA)实验指导书前言近些年来,电子设计自动化(EDA)技术发展迅速。
一方面,各种大容量、高性能、低功耗的可编程逻辑器件不断推出,使得专用集成电路(ASIC)的生产商感受到空前的竞争压力。
另一方面,出现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路的设计效率,使更低成本、更短周期的复杂数字系统开发成为可能。
于是一场ASIC与FPGA/CPLD之争在所难免。
然而PLD器件具有先天的竞争优势,那就是可以反复编程,在线调试。
EDA技术正是这场较量的推动引擎之一。
一般来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。
设计者只需编写硬件描述语言代码,然后选择目标器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。
整个过程,大部分工作由EDA软件完成。
全球许多著名的可编程器件提供商都推出了自己的集成开发工具软件,如Altera 公司的MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司的Foundation 、ISE软件,Lattice公司的ispExpert软件,Actel公司的Libero 软件等。
这些软件的推出,极大地促进了集算法设计、芯片编程、电路板设计于一体的EDA技术的发展。
另外,在以SOC芯片为目标器件的电子系统设计要求下,可编程器件的内部开始集成高速的处理器硬核、处理器软核、DSP模块、大量的存储资源、高速的串行收发模块、系统时钟管理器、多标准的I/O接口模块,亦使得设计者更加得心应手,新一轮的数字革命由此引发。
EDA技术是一门实践性很强的学科,要培养出具有竞争力的一流IC设计人才,动手能力是关键。
只有通过理论学习,加上现场实验,在使用软件编程加硬件调试的过程中真正获得锻炼,增长技能。
ZY11EDA13BE型实验系统采用主板加适配板加扩展板的灵活结构,可方便进行基于不同PLD芯片的实验开发,并易于升级,符合当前高校在此方面对人才培养的要求。
EDA实验指导书
EDA技术实验指导书2012.09第一章针对HDL设计的EDA基本实验与设计实验1.计数器设计(1) 实验目的:熟悉Quartus II的Verilog/VHDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。
掌握原理图与文本混合设计方法。
(2) 实验原理:参考教材[1]3.3节。
实验程序为例(3) 实验内容1:根据教材[1]的4.1节在Quartus II上对例3-22进行编辑、编译、综合、适配、仿真。
说明例中各语句的作用。
给出其所有信号的时序仿真波形,根据波形详细描述此设计的功能特点,包括RST、EN、LOAD、DA TA,CLK等信号等异步和同步特性。
查阅编译后的计数器的时序特点,从时序仿真图和编1 原理图示意图译报告中了解计数时钟输入至计数数据输出的延时情况,包括设定不同优化约束后的改善情况以及当选择不同FPGA后的延时情况,给出分析报告。
(4) 实验内容2:用教材[1]第4章介绍的不同方式锁定引脚并硬件下载测试。
引脚锁定后进行编译、下载和硬件测试实验。
将实验过程和实验结果写进实验报告。
硬件实验中,注意测试所有控制信号和显示信号,包括RST、EN、LOAD、DA TA等的同步、异步特性,进位信号等。
时钟CLK换不同输入:手动有抖动或无抖动键输入,1Hz或4Hz时钟脉冲输入,这需要附录1.3的模块B4板才能获得,或直接使用KX_DN5/7系列EDA/SOPC实验系统。
(5) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考教材[1]第4章,给出报告。
(6) 实验内容4:从设计中去除SignalTap II,要求全程编译后,将生成的SOF文件转变成用于配置器件EPCS4的压缩的间接配置文件*. jic,并使用USB-Blaster对实验板上的EPCS4进行编程,最后进行验证。
编程和全程编译前,按教材[1]图4-6所示,设定所有控制和参数。
(7) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端CLK0。
EDA实验指导书1209
实验一QuartusII软件应用一、实验目的1、熟悉EDA开发平台的基本操作。
2、掌握EDA开发工具的图形设计方法。
3、掌握图形设计的编译与验证方法。
二、实验仪器PC机一台QuartusII软件三、实验内容1、实验原理图:建立一个4-bit 计数器图形设计文件(如图1.1示);图 1.1 图形设计例图利用向导创建一个新器件(6位全加器:使能、流水线等参数自行设定)。
2、实验步骤:①新建一个文件夹,一般在F盘里。
②打开QuartusII软件,选择File/New,在弹出的窗口中选Device Design Files选项卡,再选择Block Diagram/Schematic 选项,单击OK后打开图形编辑窗口。
③选择File/Save As命令,保存文件在已经创建的文件夹里。
当出现询问是否创建工程的窗口,应当单击是进入创建工程流程,否则要重新创建工程把文件添加进去。
④打开工程中的原理图文件,在原理图编辑窗口的任何一个位置右击,将出现快捷菜单,选择Insert /Symbol命令,出现元件输入对话框,选择相应的器件,并连接好电路,然后分别在input和output 的PIN NAME上双击使其变黑色,再分别输入引脚名。
⑤选择Processing/Start Compilation命令,进行全程编译。
⑥打开波形编辑器,选择File/New,在New中选择Other Files中的 Vector Waveform File 选项,单击OK,出现空白的波形编译窗口⑦选择File/Save As命令,存盘。
文件名一定要与原理图文件名一致。
然后添加相应的端口信号节点到波形编辑器中,设置合理的输入信号。
⑧选择Processing/Start Simulation,进行波形仿真。
⑨选择Tools/MegaWizard Plug-In M anager,根据向导提示创建一个6位全加器。
3、实验结果记录:打印出实验原理图与仿真波形图,打印出利用向导创建的新器件的图形,完成实验报告四、实验研究与思考1、延迟时间分析、最高工作频率分析等时间分析有何重要性?2、流水线的作用是什么?对那些性能有影响?2、功能仿真、验证起到什么作用?实验二VHDL软件设计一、实验目的1、熟悉EDA开发平台的基本操作。
EDA实验指导书
实验一熟悉QUARTUS Ⅱ的设计过程[输入方式:文本输入、图形输入、波形输入等]一、实验目的:1、掌握QUARTUSⅡ安装过程;2、熟悉QUARTUSⅡ设计环境;3、掌握QUARTUSⅡ的设计过程。
二、实验内容及步骤(一)、安装QUARTUS II。
注:第一次安装QUARTUS要安装license。
(二)、QUARTUSⅡ设计开发步骤一、创建工作文件夹在windows中建立一个文件夹(又称工作库或WORK LIBRARY),用于保存设计工程项目的有关文件。
注:设计工程项目的有关文件不能保存在根目录下,必须保存在一个文件夹之下。
例如建立的文件夹:E:\mux.二、启动Quartus II点击QUARTUSⅡ7.0图标打开QUARTUSⅡ7.0设计窗口。
三、设计文件输入1、打开输入文件编辑器点击菜单File\new…新建立一个文本设计文件。
用文本输入法输入程序。
程序见附录。
2、保存文件,文件名名同实体名。
后缀.VHD四、逻辑综合1、创建工程点击菜单File\New Project Wizard…….进行工程设置。
2、编译设置⑴选择PLD芯片:Assignmenmts\Settings\Device弹出的窗口中选择选择芯片。
⑵选择配置芯片的工作方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中首选General项,在Options栏中选择Auto-restart-configuration after error.⑶选择配置芯片和编程方式:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Configuration栏,在窗口中设置配置方式,配置芯片和是否生成压缩的配置文件。
⑷选择输出设置:保持默认。
⑸选择目标器件闲置引脚的状态:Assignmenmts\Settings\Device\Device&Pin Options弹出的窗口中选择Unused Pins栏,在窗口中对闲置的引脚设置,推荐设置为As output driving groud。
EDA实验指导书全
实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。
2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。
步骤一:1、建立工程,设计输入。
选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。
Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。
不作任何选择。
4、完成设置点击“Next”后,完成工程的设定,点击“finish”。
步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。
VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。
《EDA技术》实验指导书
EDA技术实验指导书适用于电子信息工程专业QUARTUS II 8.1软件的使用一、实验目的与要求:1、练习使用QUARTUS II 8.1软件,掌握利用该软件进行简单EDA设计的基本流程;2、完成一个通过拨码开关控制发光二极管亮灭的应用,实验结束后可独立完成思考题。
二、实验环境与器材:1、微机(已安装授权的QUARTUS II 8.1软件)2、EDA/SOPC实验开发系统3、USB Blaster下载线一根三、背景知识与操作流程:QUARTUS II是ALTERA公司推出的EDA开发工具,其前身为MAX PLUS II,目前实验室安装的版本为8.1,利用该软件可进行对可编程逻辑器件的分析、综合、下载等设计。
EDA/SOPC实验开发系统是由北京百科公司生产的一套EDA实验系统,其核心芯片采用ALTERA公司的CYCLONE系列FPGA产品EP1C6Q240C8,同时配备了丰富的外部接口资源,可供学生进行EDA设计实验。
启动QUARTUS后的界面如图1所示,首先需要创建一个工程,具体操作过程如下:图1 QUARTUS软件的启动界面(1)点击File –> New Project Wizard创建一个新工程,系统显示如图2。
图2 工程创建向导的启始页(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3所示;(3)点击Next,若目录不存在,系统可能提示创建新目录,如图4所示,点击“是”按钮创建新目录,系统显示如图5所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5)点击Next,进入设备选择对话框,如图6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8;(6)点击Next,系统显示如图7,提示是否需要其他EDA工具,这里不选任何其他工具;(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图8,在窗口左侧显示出设备型号和该工程的基本信息等。
EDA 实验指导书
EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。
三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。
它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。
因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。
传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。
而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。
3(一)Max+plusⅡ10.0的使用。
1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。
第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。
EDA技术实验指导书
《EDA技术》实验指导书面向专业:通信工程信息工程自动化电子信息工程电气工程及其自动化信息与通信工程学院2016年9月前言一、课程性质本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。
通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。
二、专业安排本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。
三、本书特点本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。
目录前言 (I)第一章实验系统 (1)1.1 系统整体结构 (1)1.2 核心板 (1)1.3 基础扩展模块 (2)1.4 自动控制模块 (3)1.5 信号处理模块 (3)1.6 通信接口模块 (4)第二章开发平台简介 (5)2.1 Quartus II简介 (5)2.2 Quartus II开发流程 (5)第三章实验项目 (9)实验1 平台应用及全加器设计 (9)实验2 信号发生器设计 (11)实验3 数字电压表设计 (13)实验4 数字频率计设计 (16)实验5 交通灯控制器设计 (19)第一章实验系统1.1 系统整体结构本实验指导书采用的EDA综合实验开发系统是我院电子信息与通信技术实验教学中心自主研制,整体结构如图所示。
1.2 核心板核心板采用Altera公司的EP4CE22E22C8N芯片,具有低内核电压、低功耗的特点。
芯片内部具有22320个逻辑单元,594kbit RAM嵌入式储存器,66个嵌入式18×18乘法器,4组通用PLL。
1、DIP开关主要功能是控制高低电平,通过手动控制为系统提供稳定的逻辑信号。
系统总共提供了3位拨档开关,当开关的档位在上方时则输出高电平“1”,反之则为低电平“0”。
EDA实验指导书(100109)
实验一Multisim9设计应用一、实验目的1、熟悉Multisim9的使用环境和Multisim9使用一般步骤。
2、了解电子EDA技术的基本概念。
3、掌握模拟、数字电子电路的设计与仿真方法。
二、实验仪器PC机一台Multisim9软件三、实验内容1、实验电路图(1)半波整流电容滤波电路仿真实验原理如图1.1。
图1.1实验电路(2)数字全加器电路如图1.2图1.2全加器逻辑图2、实验步骤①进入Windows 环境并建立用户文件夹。
②双击Multisim9图标进入Multisim9主窗口,创建仿真实验电路③绘制设计电路(如图1.1、1.2所示):从相应库中拖拽出所需元器件和仪器仪表安放于合适的位置,然后利用工具栏的转动按钮使元器件符合电路的安放要求;点击元件引脚端点拉出引线至另一元件引脚端点即可连线;双击元件打开元件特性对话框,给元件标识、赋值;保存。
(用A键控制开关)④设置虚拟仪器仪表:电压表、示波器(选择合适的扫描时间、垂直幅值和水平偏移量即可)、字信号发生器(编辑输出字信号的首末地址:首地址为0000,将Initial 置为0000;末地址为0007,将Final 置为0007;设置字信号输出方式:设置为单帧输出方式,以便于输出一帧后,进行查看;只要将Burst 钮按下即可设置输出频率,可设定为 1 KHz)和设置逻辑分析仪(可采用系统默认设置,在运行后,调整水平时间刻度数值,使在波形记录区记录到适当宽度的波形信号即可)。
⑤保存⑥仿真:单击运行开关、启动电路运行。
观察1.1电路中开关断开和闭合时示波器的波形以及电压表和电流表的读数;双击逻辑分析仪图标,显示其面板,适当调整时间刻度值( 如调到4 ) ,就可看到输出波形。
3、结果记录①自行设计纪录表格,记录1.1开关断开和闭合时的两个电压表的读数,并打印两个电压表在开关断开和闭合时候的波形图.②自行设计纪录表格,记录1.2的结果数据,并打印波形图.四、实验研究与思考字信号发生器的触发信号有何特点,如何设置?实验二Protel99SE 原理图设计一、实验目的1、掌握Portel 99 SE电路原理图设计工具,图纸设置;2、掌握设置网格、电气节点和光标的方法;3、掌握装载元器件库、放置、编辑和调整元器件的方法;4、通过实例熟悉电路图的设计过程,掌握具体电路的设计方法。
EDA实验指导书
EDA实验指导书计算机科学与技术系2008.09目录实验一Quartus II 开发环境实验 (3)实验二半加器 (18)实验三全加器 (19)实验四多路数据选择器 (21)实验五编码器 (23)实验六7段数码管控制接口 (25)实验七计数器 (28)实验八MOORE机 (30)实验九MEALY机 (34)实验十串入/并出移位寄存器 (38)实验十一并入/串出移位寄存器 (40)实验十二数字钟 (42)实验一Quartus II 开发环境实验一、实验目的:1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解可编程器件设计的全过程。
二、实验步骤:Quartus II软件的基本操作与应用三、实验内容:学习Quartus II软件的基本操作并设计3人表决器一.Quartus II 7.2 启动◆方法一、直接双击桌面上的图标,可以打开Quartus II 7.2 软件;◆方法二、执行:【开始】→【程序】→【Altera】→【Quartus II 7.2】→【Quartus II 7.2 TalkBackInstall】菜单命令,可以打开软件。
◆启动软件后,若你的电脑没有连接到Internet互联网,会出现提示,提示你没有连接到Altera的官方网站,将无法获得更新的资源。
点击〖确定〗继续,因为这不影响软件的正常使用。
二.Quartus II 7.2软件界面Quartus II 7.2软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。
三.Quartus II 7.2软件使用1. 新建项目工程使用QuartusII7.2设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。
EDA实验指导书_实验指导06_EDA_硬件综合实验
“电子设计自动化(EDA)”实验指导书(六)一、实验课程编码:105009二、实验课程名称:电子设计自动化(EDA)三、实验项目名称:综合硬件实验二(带时分秒按键调整和静态数码管显示的数字钟)四、实验目的1)学会看硬件原理图,2)掌握FPGA硬件开发的基本技能3)培养EDA综合分析、综合设计的能力五、主要设备1)PC机,2)硬件实验箱,3)Max plusII软件开发平台。
六、实验内容1.6个数码管静态显示驱动2.按键模式选择(时\分\秒)与调整控制3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、显示译码模块、顶层模块。
要求使用实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
七、实验步骤1.打开Max plusII ,连接实验箱上的相关硬件资源,如下图1所示。
2.建立新文件,选择文本类型或原理图类型。
3.编写程序。
4.编译5.仿真,加载程序到芯片,观察硬件输出结果(数码管显示)6.结果正确则完成。
若结果不正确,则修改程序,再编译,直到正确。
图1 综合硬件实验二对应的硬件资源连接八、实验结果直接观察实验箱的数码管显示、操作按键进行控制和调整。
数字钟包括正常的时分秒计时,DS2B DS1B.125 H z.25 H z.5 H zH zH zH zH z4 H z56 H z024 H z096 H z6384 H z2768 H z5536 H z50 K H z.5 M H zM H zM H z2 M H z4 M H zHour 00-23 Minute 00-59Second 00-59实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒。
adjust 递增调整时分秒,mode按键循环调整模式。
EDA实验指导书1
第一部分图形法设计部分实验一:组合逻辑3-8译码器的设计(Quartus II软件的基本操作与应用)说明:本书将以实验一为例详细介绍Altera公司Quartus II软件的基本应用,其它实验将不再赘述。
读者在通过本实验后将对Quartus II软件及CPLD的设计与应用有一个比较完整的概念和思路。
在此因篇幅有限,仅仅介绍了Quartus II软件的最基本、最常用的一些基本功能。
相信读者在熟练使用本软件以后,你会发现该软件还有好多非常方便、快捷、灵活的设计技巧与开发功能。
一、实验目的:1、掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步掌握Quartus II软件的基本操作与应用。
4、初步了解可编程器件的设计全过程。
二、实验步骤:(一)设计输入1)打开Quartus II 8.0开发软件,选择File-〉New Project Wizad。
弹出工程向导对话框,点击Next。
2)在第一行位置指定工程要保存的目录,如D:\Training,在第二行位置填入工程名decoder38和顶层实体名decoder38,再点击Next。
3)点击Next。
4)若实验所使用的是Cyclone系列的“EP2C35Q672C6”,点击Finish,工程创建完成。
此时只是配置了与工程相关的一些基本设置,在开发过程中如需要,仍然可以通过菜单Assignments ->Settings来修改。
5)新建文件:打开File->New,选择Device Design Files子类中的Block Diagram/Schematic File,点击OK,创建一个顶层文件。
6)出现下面所示界面,在界面上双击鼠标左键7)出现下图界面,点击Libraries框内的Project左侧加号,出现下拉菜单8)选择74138或者直接输入74138,点击OK将模块放置在刚刚建立的Block界面上。
10)在空白处点击鼠标左键,在Name处输入output点击OK,将输出模块加入到文件中去,同样方法再添加一个output。
EDA实验指导书
实验一QuartusII软件和实验箱控制软件EDA2000使用流程[实验目的]1.掌握CPLD/FPGA的开发软件QuartusII的操作流程;2.掌握实验箱控制软件EDA2000的使用,熟悉EDA2000的实验箱结构。
[实验仪器]PC机1台QuartusII 软件1套EDA2000实验箱一个,并行电缆、串行电缆各一根。
[实验步骤]QuartusII软件使用世界各大FPGA/CPLD生产商都有自己的EDA开发环境,也有专业的第三方EDA软件。
ALTERA公司的QuartusII是Altera公司的第四代EDA集成开发环境,它操作方便、功能强大,提供了原理图输入和HDL语言输入功能,在环境中可以完成编译、查错、设计驱动信号、逻辑功能模拟、时序功能模拟、对FPGA/CPLD芯片编程以及SOPC的设计开发等功能。
下面以V erilog HDL语言输入设计为例,一步一步描述在QuartusII开发环境中如何完成FPGA/CPLD开发的设计流程。
本实验中利用V erilogHDL在FPGA里面设计一个与门,请同学们按照以下步骤操作:a)在e盘新建一个目录e:\fpga\experiment1,用于存放本次实验中的设计文件。
b)按图1-1操作打开QuartusII 7.2软件图1-1c)界面如下图1-2d)点击菜单File–〉New Project Wizard出现如下图,点击Next图1-3e) 在出现的对话框中按下图设置:f)点击Next ,出现如下对话框,本对话框要求加入文件到项目中,由于是新建项目,还没有设计文件,故空着,点击Next 。
项目顶层文件名称,通常与项目名称一致g)选择选择器件:这里的器件就是指每个设计所使用的FPGA或CPLD芯片,ALTERA公司具有代表性的FPGA为FLEX 10K系列的EPFl0K10LC84-4。
具有代表性的CPLD为MAX7000S系列的EPM7128SLC84-15。
《EDA技术与应用》实验指导书(新)
《EDA技术与应用》实验指导书第一部分基础实验实验一 EDA软件的熟悉与使用一、实验目的1.熟悉ALTERA公司EDA设计工具软件Quartus II的使用方法。
2.熟悉EDA技术实验箱的结构与组成。
二、实验原理参考教材Quartus II开发软件的使用方法。
三、实验仪器1.计算机2.EDA技术实验箱四、实验内容1.在教师指导下完成Quartus II软件的安装,熟悉Quartus II软件主要菜单命令功能。
2.熟悉EDA技术实验箱结构、组成,了解各模块的基本作用,了解I/O分布情况。
3.参考1位半加器的设计实例,按照设计流程完成新建项目文件、编译、仿真、分配引脚、编程下载等操作,掌握采用Quartus II软件设计流程。
五、实验报告1.绘制出Quartus II软件设计的详细流程图。
2.描述Quartus II软件是如何进行目标器件选择,I/O分配和锁定引脚的。
3.描述Quartus II软件Help菜单功能,如何有效地使用它。
4.写出EDA技术实验箱的I/O分布情况。
六、思考题在进行一个完整的实验流程时应注意些什么?实验二 1位半加器的设计一、实验目的1.掌握Quartus II软件设计流程。
2.熟悉原理图输入设计方法。
二、实验原理1位半加器可以用一个与门、一个异或门组成。
设加数和被加数分别为a、b,和为so、进位co,则半加器表达式为:co=a and b;so=a xor b。
三、实验仪器1.计算机(预装Quartus II软件)2.EDA技术实验箱。
四、实验内容1.为本项工程设计建立一个文件夹任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的文件的文件夹,此文件夹将被EDA软件默认为工作库(Work Library)。
一般不同的设计项目最好放在相应的文件夹中,注意,一个设计项目可以包含多个设计文件。
例如,本项设计的文件夹取名为h_adder,路径为E:\ h_adder。
EDA技术实验指导书
电子系统设计与EDA技术实验指导书实验须知:1.实验前认真阅读实验任务书,明确实验目的、内容及要求等;2.实验过程中要注意爱护实验设备,按要求接线、按步骤操作;3.要养成严谨科学的实验态度和勇于探索的实验精神,注重实践创新,对于在实验中遇到的困难,鼓励独立思考,用新思路、新方法解决;4.实验完成后,按学院资料入库标准认真完成实验报告,撰写实验报告要实事求是,严禁抄袭。
目录实验一MAX+plusⅡ的基本应用 (4)实验二简单组合逻辑设计 (6)实验三数码管扫描显示电路 (8)实验四简单时序逻辑电路设计 (11)实验六 4位二进制加法器设计 (13)实验七彩色LED组跑马灯的实现 (14)实验九数字钟的设计 (17)实验一MAX+plusⅡ的基本应用一、实验目的:1.熟悉MAX+plusⅡ的基本操作;2.掌握MAX+plusⅡ环境下的设计输入方法;3.熟悉相关的元件库以及功能模块的应用。
二、实验内容及步骤:1.通过2选1多路选择器的设计,熟悉VHDL文本输入的设计流程。
1)安装MAX+plusⅡ;2)启动MAX+plusⅡ(注意:第一次运行MAX+PLUSII时,需进行License设置。
从Option 菜单中选择License Setup进入License设置窗口,单击Browse按钮,选择License.dat文件即可);3)按照以下流程完成2选1多路选择器的VHDL设计:设计输入→保存→建立设计项目→编译→创建默认符号;2.通过1位二进制全加器的设计,熟悉图形输入设计流程。
1)半加器图形设计文件输入(后缀为.gdf)→保存→建立设计项目→编译→创建默认符号;2)顶层文件设计:全加器图形设计文件输入→保存→建立设计项目→编译→引脚分配及锁定→功能仿真→时序分析;三、实验要求:1.实验前预习2选1多路选择器的VHDL程序以及全加器的原理图;2.按照实验步骤完成本次实验任务,记录主要的设计流程;3.分析全加器功能仿真的波形;4.认真完成实验报告。
《EDA》实验指导书
EDA技术与应用实验指导书实验一MAX+PLUSII 软件使用实验一 实验目的1 熟悉MAX+PLUSII 软件的使用2 了解图形法的设计过程 二 实验内容1 在MAX+PLUSII 环境下,执行“File ”→“New ”命令,弹出如图1.1所示的“编辑文件类型”对话框,选择“Graphic Editor file ”后单击“OK ”按钮,进入MAX+PLUSII 图形编辑方式,其界面如图1.2所示。
图形编辑界面中的空白处,即原理图编辑区,相当于一张空白图纸,设计者可以在此画出自己的电路设计图。
图1.1 编辑文件类型对话框图形编辑器文件 符号编辑器文件 文本编辑器文件 波形编辑器文件图1.2图形编辑器界面在原理图编辑区的任何一个空白位置上双击鼠标的左键,将弹出一个“元件选择”对话框,如图1.3所示。
调出所需的器件后,连线,修改输入输出引脚的名称,存盘。
原理图如下:由此输入所需的元件名用户自己设置的元件库基本逻辑元件库老式宏函数元件库参数可设置的强函数元件库基本逻辑元件库的元件图1.3 元件选择对话框 图1.4 模12进制原理图2 编译执行“File ” →“Project ” →“Set Project Current File ”。
单击“MAX+plusII ” →“Compiler ”,在弹出的编译对话框中单击“Start ”按钮,即可对当前文件进行编译。
如果当前文件不存在问题,编译后的结果如图2.1所示。
在编译中,MAX+plusII 自动完成编译网表提取(Compiler Netlist Extractor )、数据库建立(Database Bulder )、逻辑综合(Logic Synthesizer )、逻辑分割(Partitioner )、适配(Fitter )、延时网表提取(Timing SNF Extractor )和编译文件汇编(Assembler )等操作,并检查设计文件是否正确。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验一 MAX+PLUSII软件的使用[实验目的] 掌握MAX+PLUSII软件的使用。
[实验内容] 学习MAX+PLUSII软件的设计操作步骤。
[实验原理] MAX+PLUSII软件介绍。
MAX+PLUSII软件功能简介:1 原理图输入(Graphic Editor)MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。
2 硬件描述语言输入(Text Editor)MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。
3 波形编辑器(waveform Editor)在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。
4 编译与仿真当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。
5 器件编程当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。
[实验步骤]设计过程如下:1)输入项目文件名(File/Project/Name)2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式)(Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor)3)指定CPLD型号(Assign/Device)4)设置管脚、下载方式和逻辑综合的方式(Assign/Global Project Device Option,Assign/Global Logic Synthesis)5)保存并检查源文件(File/project/Save & Check)6)指定管脚(Max+plusⅡ/Floorplan Editor)7)保存和编译源文件(File/project/Save & Compile)8)生成波形文件(Max+plusⅡ/Waveform Editor)9)仿真(Max+plusⅡ/Simulator)10)下载配置(Max+plusⅡ/Programmer)[实验报告要求]不做要求。
实验二简单组合逻辑电路设计[实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。
2 初步了解PLD设计的全过程和相关软件的使用。
[实验内容]1设计一个3-8线译码器。
2设计一个8-3线优先编码器。
3设计一个8位数值比较器。
4设计一个优先权排队电路。
[实验原理]1、3-8线译码器,8-3线优先编码器,8位数值比较器在数字电路教材中有详细的论述此处略。
2、优先权排队电路,排队顺序为:A=1 最高优先级,B=1 次高优先级,C=1 最低优先级输出端最高只能有一端为“1”,即只能是优先级较高的输入端所对应的输出端为“1”,即该输出端所对应的灯亮。
[实验步骤]注:本章的实验内容均以ALTERA公司的EPF10K10适配板为基础编写。
(一)3-8线译码器:启动Max plusⅡ软件,打开工程Test1/3-8decoder文件,下载3-8decoder.sof程序;(先插上下载线,再打开交流开关,然后打开直流开关APW1,最后打开通用下载模块的电源开关XPW1,点击软件中的下载编程或者配置按钮即可开始下载所需要的程序。
后面所有的下载操作步骤均一样将不在重复介绍)。
将拨码开关AS1(2)(3)设置“ON”(当不使用数码管时AS1(1)设置“ON”)。
实验现象:拨位开关KD1~KD3分别代表图中的C、B、A;发光二极管LED1~LED8分别代表图中的LED1~LED8。
当C、B、A依次从000~111拨动时,发光二极管LED1~LED8依次从左至右被选亮。
(二)8-3线优先编码器:启动Max plusⅡ软件,打开工程Test1/encode文件,下载encode.sof程序;将拨码开关AS1(2)(3)(4)设置“ON”;按照下表1所示的真值表完成该实验。
实验现象:拨位开关KD1~KD8,KD16分别代表程序中的D0~D7,EIN;发光二极管L ED1~LED5分别代表程序中的A2N,A1N,A0N,GSN, EON。
真值表输出数据中的‘1’代码所对应的发光二极管将会被点亮。
8-3线优先编码器真值表1—高电平;0—低电平;X—任意,输入低电平有效。
(三)8位数值比较器:启动Max plusⅡ软件,打开工程Test1/bijiaoqi文件,下载bijiaoqi.sof程序。
将拨码开关AS1(2)(3)(4)设置“ON”。
实验现象:拨位开关KD1~KD16分别代表图中的A7~A0(从高到低),B7~B0(从高到低);发光二极管LED1~LED3分别代表图中的LAMP1~LAMP3。
当A[7..0]>B[7..0]时,LED3被点亮;当A[7..0]=B[7..0]时,LED2被点亮;当A[7..0]<B[7..0]时,LED1被点亮。
(四)优先权排队电路:启动Max plusⅡ软件,打开工程Test1/gate2文件,下载gate2.sof程序。
拨码开关AS1(2)(3)设置“ON”。
实验现象:拨位开关KD1~KD3分别代表图中的A,B,C;发光二极管LED1~LED3分别代表图中的LAMP1~LAMP3,在该实验中A的优先级最高。
当KD1=‘1’时,发光二极管LED1被点亮;当KD1=‘0’,KD2=‘1’时,发光二极管LED2被点亮;当KD1=‘0’,KD2=‘0’,KD3=‘1’时,发光二极管LED3被点亮。
[实验报告]要求用VHDL语言编程完成以上3-8译码器,8-3线优先编码器,8位数值比较器的设计。
比较图形输入法与语言输入法的优劣。
实验三简单时序电路设计[实验目的]1、掌握基本RS触发器、D触发器、JK触发器的逻辑功能。
2、掌握时序电路的设计方法。
3、熟悉触发器之间相互转换的方法。
[实验内容]1通过模拟和仿真分析和验证三种触发器的逻辑功能及触发方式。
2设计基本RS触发器、D触发器、JK触发器。
3扩展任务:设计其它触发器如T触发器,并研究其相互转化的方法。
[实验原理]1、RS基本触发器:(见相关数字电路教材,此处略)2、D触发器:正沿触发的D触发器的电路符号如下图所示。
它是一个正边沿触发的D触发器,有一个数据输入端d,一个时钟输入端clk和一个数据输出端q。
D触发器的真值表如下表所示。
从表中可以看到,D锁存器的输出端只有在正沿脉冲过后,输入端d的数据才可以传递到输出端q。
D锁存器真值表3、JK触发器:带有复位/置位功能的JK触发器电路符号如下图所示。
JK触发器的输入端有置位输入prn,复位输入clrn,控制输入j和k,时钟信号clk;输出端q和反向输出端NQ。
JK触发器的真值表如下表所示。
[实验步骤](一)RS基本触发器:启动Max plusⅡ软件,打开工程Test3/RS文件,下载rs.sof程序;将拨码开关AS1(2)(3)设置“ON”;实验现象:拨位开关KD1~KD2分别代表图中的R、S输入端;发光二极管LED1~LED2分别代表图中的Q、NQ输出端。
当拨位开关按照R、S触发器真值表的输入值拨动时,发光二极管则按照真值表的输出值显示(‘1’亮;‘0’灭)。
(二)D触发器:启动Max plusⅡ软件,打开工程Test3/DFF文件,下载dff.sof程序;将拨码开关AS1(2)(3)设置“ON”;实验现象:拨位开关KD1代表图中的D输入端;发光二极管LED1~LED2分别代表图中的Q、NQ输出端;输入时钟clk接1Hz档(TJ1处)。
当拨位开关(KD1)按照D触发器真值表的输入值拨动时,发光二极管则按照真值表的输出值显示(‘1’亮;‘0’灭)。
(三)JK触发器:启动Max plusⅡ软件,打开工程Test3/JKFF文件,下载jkff.sof程序;将拨码开关AS1(2)(3)设置“ON”;实验现象:拨位开关KD1~KD3分别代表图中的PRN置位端和J、K输入端;轻触开关K17代表图中的CLRN清零端;发光二极管LED1~LED2分别代表图中的Q、NQ输出端;输入时钟clk接1Hz 档(TJ1处)。
当拨位开关(J、K和PRN)、轻触开关(K17)按照JK触发器真值表的输入值拨动时,发光二极管则按照真值表的输出值显示(‘1’亮;‘0’灭)。
[实验报告]要求用VHDL语言编程完成D触发器和JK触发器的设计。
实验四 LED显示译码电路的设计[实验目的]1、实现十六进制计数显示。
2、实现常见英语字母显示。
[实验内容]1.编写一个简单的0~F轮换显示的十六进制计数电路。
2.编写一个显示英文字母A、B、C、D、E、F、H、P、L的轮换显示电路。
3.通过仿真或观察波形验证设计电路的正确性。
[实验原理]用数码管除了可以显示0~9的阿拉伯数字外,还可以显示一些英语字母。
数码管由7段显示输出,利用7个位的组合输出,就可以形成26个英语字母的对应显示。
表2显示常见的字母与7段显示关系。
表2 常见的字母与7段显示关系[实验步骤](一)0~F计数显示电路:1、启动Max plusⅡ软件,打开工程Test4/display1文件,下载display1.sof程序;2、将拨码开关AS1全部设置为“OFF”;实验现象:将计数频率设置为1Hz(TJ1处,数码管显示的数值每隔一秒变化一次),数码管SM5~SM8同时循环显示0~F。
当改变计数频率时,先按一下复位键(K17)然后再显示。
(二)英语字母显示电路:1、启动Max plusⅡ软件,打开工程Test4/display2文件,下载display2.sof程序;2、将拨码开关AS1(1)设置为“ON”;实验现象:将计数频率设置为1Hz(TJ1处,数码管显示的数值每隔一秒变化一次),扫描频率设置为32.768KHz(TJ5处);数码管SM5~SM8同时循环显示A、B、C、D、E、F、H、L、O。
[实验报告]要求用VHDL语言编程完成十六进制数LED显示译码器的设计。
实验五数字钟设计[实验目的]1掌握多位计数器相连的设计方法。
2掌握十进制、六十进制、二十四进制计数器的设计方法。
3掌握喇叭的驱动方法。
4LED 灯的花样显示。
5掌握CPLD的层次化设计方法。
[实验内容]1、具有时、分、秒计数显示功能,以24小时循环计时。
2、具有清零,调节小时、分钟的功能。