彩灯控制实验
彩灯循环显示控制器实验报告
彩灯循环显示控制器电气信息类(创新实验班)一,实验目标1.4路输出循环彩灯电路:设4路彩灯记为L3、L2、L1、L0。
实现如下花型:花型1:彩灯L3-L0,依次按L3,L3L2,L3L2L1,L3L2L1L0点亮;花型2:彩灯L3-L0,依次按L0,L1L0,L2L1L0,L3L2L1L0熄灭;花型3:彩灯L3-L0,全亮再全灭。
三种花型依次循环显示。
2.8路输出循环彩灯电路,实现如下花型:花型1:由中间往外对称依次点亮,全部点亮后,再由中间往外依次熄灭。
花型2:前4路彩灯与后4路彩灯分别从左到右顺次点亮,再顺次熄灭。
两种花型交替循环显示。
二,实验方案1.1)输出单元电路:彩灯循环显示的花型状态又移位寄存器单元电路的输出状态决定。
由一片4位移位寄存器74LS194实现。
2)分频单元电路:实现对时钟信号的四分频,由D触发器74LS74构成。
3)控制信号产生单元电路:用计数器74LS193。
2.1)输出单元电路:由两片4位移位寄存器74LS194实现。
2)分频单元电路:74LS90构成8进制计数器3)节拍控制单元电路:74LS74使S1,S0转换。
三,实验步骤4路输出循环彩灯电路1.4路输出循环彩灯电路:再依次按L0,L1L0,L2L1L0,L3L2L1L0熄灭,然后全亮再全暗,由此循环。
由图上仿真可以看出,8盏灯先全暗,然后1D和2A(即中间两盏灯)开始亮,向往外对称依次点亮,再由中间往外依次熄灭。
然后进入下一个花型:前4路彩灯与后4路彩灯分别从左到右顺次点亮,再顺次熄灭。
五,实验验证这个实验是在实验箱上验证的,4路输出循环彩灯电路中的4盏灯按照预期亮。
而8路输出循环彩灯电路的8盏灯虽然亮了但是不是按照预期的规律。
用555时基电路构成多谐振荡器,用该脉冲作为8路输出循环彩灯电路的脉冲源,与6和2端口相接的电容影响频率,可是8盏灯也不是按照预期的亮。
但是仿真却可以。
六,实验心得:这次实验算不上成功,因为在实验箱上的灯没有按照规律亮,不过仿真结果还是成功的。
实验二 彩灯实验
实验二彩灯实验一、实验项目彩灯控制实验:利用开发实验平台上的8 个LED 实现常见彩灯实验。
二、实验目的1、学习QuatusII开发软件的基本操作。
2、熟悉教学实验板的使用。
3、初步掌握VHDL语言的设计、输入、编译、仿真和调试过程。
4、掌握USB-BLASTER下载工具的安装、以及程序下载方法。
三、实验内容1、首先编译下载给定的VHDL硬件描述语言编写的彩灯控制示例程序,仔细观察在实验板上的现象。
2、用VHDL语言编辑彩灯控制程序,通过按键控制开发实验平台上的8个LED灯的点亮顺序:(1)按键可选择核心板上的按键,或EDA主板上矩阵键盘作为按键,或DKA系列按键;(2)通过一个按键控制8个LED灯从左向右依次循环点亮;(3)通过一个按键控制8个LED灯从右向左依次循环点亮;(4)通过一个按键控制8个LED灯从中间向两边依次循环点亮;(5)通过一个按键控制8个LED灯从两边向中间依次循环点亮;(6)通过一个按键控制8个LED灯全部点亮;(7)通过一个按键控制8个LED灯全部熄灭。
(8)以上共使用了六个按键控制六种点亮方式3、扩展内容:能够设置8个LED灯的点亮频率(通过时钟、分频器实现)。
4、使用三个按键控制LED点亮的六种方式。
5、在QuatusII平台上进行波形仿真,保存一组通过按键控制8个LED灯从中间向两边依次循环点亮的仿真波形图。
6、描述在实验板上观察到的现象。
四、实验仪器计算机、USB-BLASTER下载线、数字系统实验箱、5V稳压电源。
五、实验原理1、EDA主板上8个LED灯的电路连接如图1所示。
8个LED采用74LS244进行隔离驱动,当FPGA对应I/O口为高电平时,相应的LED灯点亮;为低电平时,灯熄灭。
2、表1是对应的FPGA映射管脚表,即编译通过后,进行器件管脚分配时必须按照相应的管脚号进行分配,否则就无法进行硬件验证测试。
图1 8个LED灯的电路连接图表1 LED灯对应的FPGA映射管脚表器件名网络名FPGA映射管脚LED-0SD0165LED-1SD1166LED-2SD2167LED-3SD3168LED-4SD4169LED-5SD5170LED-6SD6173LED-7SD71743、FPGA时钟连接电路及管脚分配分别如图2和表2所示。
晚会彩灯实验报告范文
晚会彩灯实验报告范文1. 引言晚会彩灯是一种以灯光为主要表现手段的舞台艺术形式,它通过不同颜色、亮度和灯光变化的组合,营造出不同的氛围和效果,使观众在音乐和表演的共同作用下得到视觉上的全面享受。
本实验旨在探究不同灯光组合对晚会效果的影响,并通过实验数据分析,提出一些改进建议。
2. 实验设计本次实验使用了三种不同颜色的彩灯:红色、绿色和蓝色。
每种颜色的彩灯都可以通过调节亮度来改变灯光的强弱。
研究人员设置了五种不同的灯光组合,并通过观察和调查来评估各组合的效果。
灯光组合如下:1. 组合A:红色亮度最高,绿色亮度中等,蓝色亮度最低。
2. 组合B:红色亮度最高,绿色亮度最低,蓝色亮度最低。
3. 组合C:红色亮度最高,绿色亮度最高,蓝色亮度最低。
4. 组合D:红色亮度最低,绿色亮度最低,蓝色亮度最高。
5. 组合E:红色亮度最低,绿色亮度最高,蓝色亮度最高。
3. 实验过程实验在一间较为宽敞的空间内进行,为了模拟真实晚会场景,研究人员使用了黑布将实验室封闭,并降低了室内的自然光线。
在每种灯光组合下,实验人员播放了相同的音乐和舞蹈表演。
为了评估各组合的效果,每个实验组有50名观众参与,观众需要在每个组合结束后填写一个调查问卷。
调查问卷包括以下几个方面的评价:整体灯光效果、氛围营造、视觉冲击和舒适度。
每个方面均采用1至5的评分等级,其中1表示非常差,5表示非常好。
4. 结果和分析实验结果表明,不同的灯光组合对观众的体验产生了显著的影响。
下面是每个组合的平均评分表格:灯光组合整体灯光效果氛围营造视觉冲击舒适度-A 4.2 3.8 4.0 3.9B 3.6 3.4 3.3 3.7C 4.7 4.6 4.3 4.5D 2.9 3.1 2.8 3.2E 4.5 4.8 4.6 4.3从上表可以看出,组合C获得了最高的评分,其整体灯光效果得分为4.7,舒适度得分为4.5,明显好于其他组合。
组合B得到的评分相对较低,其整体灯光效果得分为3.6,视觉冲击得分为3.3。
彩灯的控制实训报告
实训题目:彩灯的控制1.整机设计1.1 设计要求1.1.1 设计任务设计由几种常用集成数字芯片组成的彩灯控制电路,彩灯用8个发光二极管代替,设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能。
1.1.2 性能指标要求一、设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能二、彩灯亮点移动时间间隔取1秒三、占空比约等于50%四、彩灯的布图形状随意1.2 整机实现的基本原理及框图1.2.1 基本原理通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路。
移位寄存器74LS194是一个具有移位功能的寄存器,寄存器中所存的代码能够在一位脉冲的作用下依次左移或右移,555芯片是一种产生时间延迟和多种脉冲信号的电路,并且集成芯片74LS04是非门,能进行循环。
所以此电路的基本原理是由555芯片产生脉冲,传给移位寄存器74LS194,再经过非门与拨码开关实现循环、左移、右移、全亮、全灭的功能。
1.2.2 总体框图总体框图2.各功能电路实现原理及电路设计 整个电路的设计电路图:(1) 电源输入接口由一个接口和一个发光二极管(如果接入正确则灯亮)和一个300欧的电阻保护。
其电路图如下:电 源 输 入 接 口彩 灯 控 制 电 路555 芯 片 CP 产 生 电 路彩 灯 演 示 电 路电源输入接口(2)555芯片CP产生电路方案一:由555定时器接成多谐振动器。
其图如下:555定时器接成多谐振动器图振动周期: T=0.7(R1+2R2)C输出脉冲占空比: q=(R1+R2)/(R1+2R2)方案二:由555定时器接成多谐振动器但其占空比可调。
其图如下555定时器接成多谐振动器占空比可调图在这次电路设计中我选择的CP产生电路是第2中方案,是为了便于调占空比。
电容取:4.7μf 0.01μf电阻取:两个47kΩ 50kΩ精密电位器:50kΩ精密电位器其原理图如下:555芯片CP产生电路(2)彩灯控制电路2片移位寄存器74LS194级联实现。
eda彩灯实验报告
eda彩灯实验报告
EDA彩灯实验报告
引言
EDA彩灯是一种新型的智能灯具,它具有丰富的颜色选择和灯光效果,可以通
过手机APP远程控制,是现代家居装饰的理想选择。
本实验旨在测试EDA彩灯的亮度、颜色稳定性和控制性能,以评估其在实际应用中的表现。
实验方法
1. 测量亮度:在不同亮度下,使用光度计测量EDA彩灯的光照强度,以评估其
亮度表现。
2. 测量颜色稳定性:在不同时间段内,记录EDA彩灯显示的颜色,并使用色度
计测量其颜色值,以评估其颜色稳定性。
3. 测试控制性能:通过手机APP远程控制EDA彩灯,测试其响应速度和稳定性。
实验结果
1. 亮度测试结果显示,EDA彩灯在不同亮度下的光照强度表现稳定,且亮度调
节响应迅速。
2. 颜色稳定性测试结果显示,EDA彩灯在长时间使用过程中,颜色表现稳定,
色度值变化不大。
3. 控制性能测试结果显示,通过手机APP远程控制EDA彩灯响应速度快,稳定性良好。
结论
通过本次实验,我们对EDA彩灯的亮度、颜色稳定性和控制性能进行了评估,
结果显示其表现良好,适用于家居装饰和氛围照明。
然而,在实际使用中,还
需要进一步测试其耐用性和节能性能,以全面评估其性能表现。
总结
EDA彩灯作为一种智能家居装饰灯具,具有丰富的颜色选择和灯光效果,通过本次实验评估其亮度、颜色稳定性和控制性能,结果显示其表现良好。
我们期待EDA彩灯在未来的应用中,能够为用户带来更多的便利和美好的家居体验。
四路彩灯设计实验报告
四路彩灯设计实验报告1. 引言彩灯设计实验是电子实践课程中的一项基础实验,通过设计和搭建电路,控制四路彩灯的亮灭和颜色变化,培养学生对电路原理和电子元件的实际运用能力。
本实验报告将详细介绍实验的设计思路、实验过程和实验结果,并对实验中遇到的问题进行分析和总结。
2. 设计思路本实验的主要目标是设计一个能够控制四个灯泡亮灭和变化颜色的电路。
基于这个目标,我们采用了以下设计思路:1. 使用Arduino开发板作为控制中心,通过编程实现对彩灯的控制。
2. 运用PWM (脉宽调制)技术来控制灯泡的亮度和颜色变化。
3. 使用LED灯泡作为彩灯的光源,通过调整电流来控制亮度和颜色。
3. 实验过程3.1 实验器材和元件- Arduino开发板- 面包板- 杜邦线- RGB LED灯泡x 4- 电阻x 4- 电阻箱- 电源3.2 实验步骤3.2.1 电路搭建首先,我们将Arduino开发板和面包板连接起来,并将四个RGB LED 灯泡和电阻连接到面包板上。
连接电路的示意图如下:![电路示意图](circuit_diagram.png)3.2.2 程序编写接下来,我们使用Arduino开发软件编写程序。
程序的基本思路是通过控制PWM输出来控制灯泡的亮灭和颜色变化。
程序的核心代码如下:int redPin = 9;int greenPin = 10;int bluePin = 11;void setup() {pinMode(redPin, OUTPUT);pinMode(greenPin, OUTPUT);pinMode(bluePin, OUTPUT);}void loop() { analogWrite(redPin, 255); analogWrite(greenPin, 0); analogWrite(bluePin, 0); delay(1000);analogWrite(redPin, 0); analogWrite(greenPin, 255); analogWrite(bluePin, 0); delay(1000);analogWrite(redPin, 0); analogWrite(greenPin, 0); analogWrite(bluePin, 255); delay(1000);analogWrite(redPin, 255);analogWrite(greenPin, 255);analogWrite(bluePin, 0);delay(1000);}3.2.3 实验验证完成电路搭建和程序编写后,我们将Arduino开发板连接到电脑上,上传程序,并将电源接入电路。
彩灯控制实验
实验7 彩灯控制器实验一、实验目的(1)、学习掌握按键编程方法。
(2)、学习掌握数码管显示编程方法。
(3)、学习掌握延时程序的编程方法。
(4)、学习掌握中断系统的编程方法。
(5)、学习掌握单片机I/O口的编程方法。
(6)、学习掌握单片机串行通信的编程方法。
二、实验内容设计两片单片机的串行通信电路,甲机为发送机,乙机为接收机。
甲机实现功能为:(1)、利用51单片机的I/O口控制八个LED发光二极管(即彩灯)D1-D8,使D1-D8从高位到低位以0.5秒的时间间隔循环点亮;(2)、设计四个按键,分别为间隔键、交替键、暂停键和闪烁键,各按键对的功能描述如下:a)闪烁键每按下一次,彩灯闪烁次数加1;b)间隔键按下,8个彩灯按照D1、D3、D5、D7和D2、D4、D6、D8的规律闪烁,闪烁次数由闪烁键按下的次数决定;c)交替键按下,8个彩灯按照D1、D2、D3、D4和D5、D6、D7、D8的规律闪烁,闪烁次数由闪烁键按下的次数决定;d)暂停键每按下一次,彩灯停在当前显示状态,暂停键再按下一次,恢复状态显示。
乙机实现功能为:接收甲机中闪烁键按下次数,并设计两个七段数码管用来显示闪烁次数,即显示所检测到的闪烁键按下的次数。
三、实验设备计算机(已安装Keil和Proteus软件)四、实验要求1、根据实验内容设计硬件电路,原件分布合理,原理正确,器件标识清晰明朗。
2、根据实验内容和硬件电路编写相应的程序,实现相应的控制功能。
3、实验报告必须包含硬件电路图和程序清单,且程序清单中主要代码需加注释。
五、实验硬件电路六、程序甲机程序:#include<reg51.h>#define uchar unsigned char #define uint unsigned intsbit k_shuo=P2^0; //闪烁键sbit k_jg=P2^1; //间隔键sbit k_jt=P2^2; //交替键sbit k_pause=P3^2; //暂停键uchar aa,cc,num1,num2,num3; void delay(uint);void init(){TMOD=0x21;SCON=0x40;TH0=(65536-30000)/256;TL0=(65536-30000)%256;TH1=230;TR1=1;EA=1;ET0=1;IT0=1;}void send(uchar bb){SBUF=bb;while(!TI);}void keyscan(){uint num=8;if(k_shuo==0){if(k_shuo==0){num1++;send(num1);aa=0x80;while(num--){P1=~aa;delay(100);aa=aa>>1;if(aa==0x00)aa=0x80;}});}if(k_jg==0){if(k_jg==0){while(num2--){P1=0xaa;delay(500);P1=0x55;delay(500);}while(!k_jg);}}if(k_jt==0){delay(10);while(num3--){P1=0xf0;delay(500);P1=0x0f;delay(500);}while(!k_jt);}}}void main(){init();while(1){P1=0xff;P1=~cc;delay(500);cc=cc>>1;keyscan();}}void delay(uint z){uint i,j;for(i=z;i>0;i--)for(j=110;j>0;j--); }void timer0()interrupt 1 {uint t=30000;TH0=(65536-30000)/256;TL0=(65536-30000)%256;}void INT0_INT()interrupt 0 {TR0=~TR0;}乙机程序:#include<reg51.h>#define uchar unsigned charsbit p20=P2^0;sbit p21=P2^1;uchar code table[]={0xc0,0xf9,0xa4,0xb0, 0x99,0x92,0x82,0xf8,0x80,0x90};void delay();void init(){TMOD=0x22;SCON=0x40;TH1=230;TL1=230;EA=1;TR1=1;}void main(){init();P0=0xc0;while(1){while(!RI);}}void delay(){unsigned int i,j;for(i=10;i>0;i--)for(j=110;j>0;j--); }void timer0()interrupt 1 {aa=SBUF;shi=aa/10;ge=aa%10;p21=1;P0=table[ge];delay();p21=0;p20=1;P0=table[shi];delay();p20=0;}。
PLC实验三霓虹灯控制实验报告(程序梯形图){修}
课程名称:PLC原理及应用实验项目:实验三霓虹灯控制实验实验预习报告(上课前完成)一、实验目的1.熟悉可编程序控制器的编程软件及编程方法.2.熟悉可编程序控制器的组成及基本逻辑指令。
3.掌握定时器及计数器的使用方法。
4.掌握霓虹灯控制电路设计方法。
5.熟悉SFC编程方法,分析控制过程中的状态及状态的转移条件。
二、所用实验仪器设备、耗材及数量三、实验内容和简单原理(包括实验电路图及原理说明)内容:设计一个霓虹灯控制电路,要求按如下方法控制霓红灯A、B、C的亮灭:1.A亮一秒;2.B亮一秒;3.C亮一秒;4.ABC灭一秒;5.ABC亮一秒;6.ABC灭一秒;7.ABC亮一秒;8.ABC灭一秒.实验原理图如图3。
1所示(实验原理图中的粗线为实验所需连线).四、操作方法与实验步骤(详细说明实验的操作过程及注意事项)1.确定输入与输出量。
2.画该控制过程的状态转移图(SFC)。
3.编写可编程序控制器的梯形图程序或指令表程序.4.进入FX系列可编程序控制器的编程软件界面,把程序输入计算机。
5.按事先画好的连线图连线,进行PLC实验。
实验报告部分五、实验数据记录及处理(实验前画好表格或坐标图形)(实验结束时交予老师签名)(1)原始数据记录1、I/O分配表类别元件PLC元件作用输入单脉冲信号M8002 启动L1 Y000 灯A亮L2 Y001 灯B亮L3 Y002 灯C亮2、指令表程序LD M8002 LD M3 OUT Y001 SET M0 MPS LD M3 LD M0 AND T6 AND T6 AND T0 OUT T5 K10 OR M2 SET M1 MRD OUT Y002 RST M0 ANI T5 ENDLD M1 OUT T6 K10AND T1 MPPSET M2 AND T3RST M1 SET M0LD M2 RST M3AND T2 LD M3SET M3 OUT T3 K49RST M2 LD M3LD M0 AND T6OUT TO K10 OR M0LD M1 OUT Y000OUT T1 K10 LD M3LD M2 AND T6OUT T2 K10 OR M13、梯形图程序3、PLC接线图(2)数据处理与分析教师签名:六、回答思考题1.添加一个启动和停止按钮,程序该如何改动?将原梯形图程序第一行改为下图:X0为启动按钮,X1为停止按钮2.试述使用定时器进行程序控制的方法?用定时器组成闪烁电路;用多个定时器组合实现较长时间的延时;用定时器和计算器组合,实现长延时功能电路。
彩灯控制电路实习报告
实习报告:彩灯控制电路设计与实现一、实习目的1. 掌握彩灯控制电路的基本原理及设计方法;2. 熟悉常用电子元器件的选型及应用;3. 培养动手实践能力和团队协作精神;4. 提高自身综合素质,为今后从事电子技术工作奠定基础。
二、实习内容1. 分析彩灯控制电路的需求及工作原理;2. 选型合适的电子元器件,设计电路图;3. 制作电路板,进行焊接;4. 调试电路,验证功能;5. 撰写实习报告。
三、实习过程1. 分析需求本次实习旨在设计一款彩灯控制电路,实现对LED彩灯的亮度调节、颜色切换等功能。
通过对市场需求及电路性能的分析,确定电路应具备以下功能:(1)亮度调节:通过旋转编码器实现;(2)颜色切换:通过按键实现;(3)自动关机:通过定时器实现。
2. 元器件选型根据功能需求,选型如下元器件:(1)旋转编码器:用于亮度调节,型号为MC14468;(2)按键:用于颜色切换,型号为SC1602;(3)定时器:用于自动关机,型号为TC77;(4)LED彩灯:用于显示效果,型号为WS2812;(5)电源模块:为电路提供稳定的电源,型号为LM2596。
3. 电路设计根据元器件选型,设计电路图,如下:```+----------------+| MC14468 |+----[3]---------+|v+----------------+| SC1602 |+----[1]---------+|v+----------------+| TC77 |+----[3]---------+|v+----------------+| LM2596 |+----[VIN]-------+|v+----------------+| WS2812 |+----[DATA]------+|v+----------------+| GND |+----------------+```4. 电路制作与调试根据电路图,制作电路板,进行焊接。
实验十四 多路彩灯控制器
实验十四多路彩灯控制器一、实验任务及要求设计一个十六路彩灯控制器,6种花型循环变化,有清零开关,并且可以选择快慢两种节拍。
二、设计说明与提示1、设计说明根据系统设计要求可知,整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK_IN,系统清零信号CLR,彩灯节奏快慢选择开关CHOSE_KEY;共有16个输出信号LED[15..0],分别用于控制十六路彩灯。
据此,我们可将整个彩灯控制器CDKZQ分为两大部分:时序控制电路SXKZ和显示控制电路XSKZ,整个系统的组成原理图如图2-4所示。
2、提示(1) 在时序控制电路SXKZ的设计中,利用计数器计数达到分频值时,对计数器进行清零,同时将输出信号反向,这就非常简洁地实现了对输入基准时钟信号的分频,并且分频信号的占空比为0.5。
(2) 在显示控制电路XSKZ的设计中,利用状态机可以非常简洁地实现了六种花型的循环变化,同时利用六个十六位常数的设计,可非常方便地设置和修改六种花型。
(3) 对于顶层程序的设计,因本系统模块较少,既可使用文本的程序设计方式,也可使用原理图的设计方式。
但对于模块较多的系统,最好使用文本的程序设计方式。
图2-4彩灯控制器组成原理图三、实验报告要求1.画出顶层原理图。
2.系统通过仿真后,根据EDA实验开发系统进行编程下载和硬件验证。
3.写出各功能模块的VHDL语言源文件。
4.书写实验报告时应结构合理,层次分明,注意语言的流畅。
四、主要VHDL源程序1、时序控制电路的VHDL源程序--SXKZ.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SXKZ ISPORT(CHOSE_KEY:IN STD_LOGIC;CLK_IN:IN STD_LOGIC;CLR:IN STD_LOGIC;CLK:OUT STD_LOGIC);END ENTITY SXKZ;ARCHITECTURE ART OF SXKZ ISSIGNAL CLLK:STD_LOGIC;BEGINPROCESS(CLK_IN,CLR,CHOSE_KEY) ISVARIABLE TEMP:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINIF CLR='1' THEN --当CLR='1'时清零,否则正常工作CLLK<='0';TEMP:="000";ELSIF RISING_EDGE(CLK_IN) THENIF CHOSE_KEY='1' THENIF TEMP="011" THENTEMP:="000";CLLK<=NOT CLLK ;ELSETEMP:=TEMP+'1';END IF;-- 当CHOSE_KEY='1'时产生基准时钟频率的1/4的时钟信号,否则产生基准时钟 --频率的1/8的时钟信号ELSEIF TEMP="111" THENTEMP:="000";CLLK<=NOT CLLK ;ELSETEMP:=TEMP+’1';END IF;END IF;END IF;END PROCESS;CLK<=CLLK;END ARCHITECTURE ART;2、显示控制电路的VHDL源程序--XSKZ.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY XSKZ ISPORT(CLK:IN STD_LOGIC;CLR:IN STD_LOGIC;LED:OUT STD_LOGIC_VECTOR(15 DOWNTO 0));END ENTITY XSKZ;ARCHITECTURE ART OF XSKZ ISTYPE STATE IS(S0,S1,S2,S3,S4,S5,S6);SIGNAL CURRENT_STATE:STATE;SIGNAL FLOWER:STD_LOGIC_VECTOR(15 DOWNTO 0);BEGINPROCESS(CLR,CLK) ISCONSTANT F1:STD_LOGIC_VECTOR(15 DOWNTO 0):="0001000100010001"; CONSTANT F2:STD_LOGIC_VECTOR(15 DOWNTO 0):="1010101010101010"; CONSTANT F3:STD_LOGIC_VECTOR(15 DOWNTO 0):="0011001100110011"; CONSTANT F4:STD_LOGIC_VECTOR(15 DOWNTO 0):="0100100100100100"; CONSTANT F5:STD_LOGIC_VECTOR(15 DOWNTO 0):="1001010010100101"; CONSTANT F6:STD_LOGIC_VECTOR(15 DOWNTO 0):="1101101101100110"; --六种花型的定义BEGINIF CLR='1' THENCURRENT_STATE<=S0;ELSIF RISING_EDGE(CLK) THENCASE CURRENT_STATE ISWHEN S0=>FLOWER<="ZZZZZZZZZZZZZZZZ";CURRENT_STATE<=S1;WHEN S1=>FLOWER<=F1;CURRENT_STATE<=S2;WHEN S2=>FLOWER<=F2;CURRENT_STATE<=S3;WHEN S3=>FLOWER<=F3;CURRENT_STATE<=S4;WHEN S4=>FLOWER<=F4;CURRENT_STATE<=S5;WHEN S5=>FLOWER<=F5;CURRENT_STATE<=S6;WHEN S6=>FLOWER<=F6;CURRENT_STATE<=S1;END CASE;END IF;END PROCESS;LED<=FLOWER;END ARCHITECTURE ART;3、整个电路系统的VHDL源程序--CDKZQ.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CDKZQ ISPORT(CLK_IN:IN STD_LOGIC;CLR:IN STD_LOGIC;CHOSE_KEY:IN STD_LOGIC;LED:OUT STD_LOGIC_VECTOR(15 DOWNTO 0));END ENTITY CDKZQ;ARCHITECTURE ART OF CDKZQ ISCOMPONENT SXKZ ISPORT(CHOSE_KEY:IN STD_LOGIC;CLK_IN:IN STD_LOGIC;CLR:IN STD_LOGIC;CLK:OUT STD_LOGIC);END COMPONENT SXKZ;COMPONENT XSKZ ISPORT(CLK:IN STD_LOGIC;CLR:IN STD_LOGIC;LED:OUT STD_LOGIC_VECTOR(15 DOWNTO 0));END COMPONENT XSKZ;SIGNAL S1:STD_LOGIC;BEGINU1:SXKZ PORT MAP(CHOSE_KEY,CLK_IN,CLR,S1);U2:XSKZ PORT MAP(S1,CLR,LED);END ARCHITECTURE ART;五、系统仿真/硬件验证1、系统的有关仿真时序控制电路SXKZ、显示控制电路XSKZ及整个电路系统CDKZQ的仿真图分别如图2-5、图2-6和图2-7所示。
彩灯控制器设计及实验报告三篇
彩灯控制器设计及实验报告三篇篇一:多路彩灯控制器的设计一课程设计题目(与实习目的)(1)题目:多路彩灯控制器(2)实习目的:1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。
二任务和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。
因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。
(1)彩灯控制器设计要求设计一个8路移存型彩灯控制器,要求:1.彩灯实现快慢两种节拍的变换;2.8路彩灯能演示三种花型(花型自拟);3.彩灯用发光二极管LED模拟;4.选做:用EPROM实现8路彩灯控制器,要求同上面的三点。
(2)课程设计的总体要求1.设计电路实现题目要求;2.电路在功能相当的情况下设计越简单越好;3.注意布线,要直角连接,选最短路径,不要相互交叉;4.注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。
三总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。
第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。
主体框图如下:方案二:在方案一的基础上将整体电路分为四块。
第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。
并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。
主体框图如下:(2)总体方案的选择方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。
数电综合实验——彩灯控制器的设计与调试
数电综合实验——彩灯控制器的设计与调试一、实验目的1.学会分析、设计和测试用555定时器构成的多谐振荡器。
2.熟悉移位寄存器和中规模集成计数器的工作原理。
3.利用移位寄存器和计数器设计彩灯控制电路,实现不同的闪烁效果。
二、彩灯控制器设计简介利用移位寄存器和计数器等设计一彩灯控制电路,改变电路的不同工作状态,控制彩灯变幻出不同的闪烁效果。
电路实用,也可以通过计算机仿真直观地看到循环彩灯的控制效果,综合运用所学数字电路知识,学会设计和调试方法,从而产生浓厚兴趣。
如果稍微改动控制电路,可以更加完善,完成基于移位寄存器的彩灯控制器设计。
在现代生活中,彩灯作为一种装饰,既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添亮丽,用在舞台上增强晚会灯光效果,利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。
因此,彩灯控制电路应用越来越丰富我们的生活,成为我们生活不可缺少的一部分。
本课题是利用四位双向移位寄存器为核心元件实现彩灯的循环控制。
2.1设计思路首先,利用555定时器与外部的阻容元件构成脉冲产生电路,再由计数器74160实现计时的功能,为脉冲分配器做好准备。
再由移位寄存器74LS194构成编码发生电路,由于移位寄存器74LS194上升沿有效,通过对输入端置数,加上脉冲的驱动来控制彩灯的闪烁,从而使彩灯按照我们的要求变化。
整个流程是由控制电路,编码发生电路和输出电路等组成。
此综合实验讲述了芯片计数器74LS160,555定时器,移位寄存器74LS194的基本原理及应用。
2.2 基本工作原理脉冲产生与整形电路555定时器产生脉冲驱动,再由十进制同步计数器74LS160,编码发生电路74LS194控制彩灯变化。
因此,通过控制74LS194的输出就可以实现我们想要的彩灯循环变化。
2.3彩灯控制器原理框图555 定时器74LS160计数器74LS194移位寄存器彩灯显示电路CP脉冲CD4069——增加驱动能力图1 工作原理框图2.4 相关芯片及硬件电路设计 2.4.1 74160十进制同步计数器芯片74LS160是一个十进制同步计数器,不仅可以对时钟脉冲进行计数,还可以用在定时、分频和信号产生等逻辑电路。
彩灯控制器实验报告
彩灯控制器实验报告彩灯控制器实验报告一、引言彩灯作为一种常见的装饰灯具,广泛应用于各种场合,如节日庆典、婚礼晚会等。
为了实现彩灯的多样化控制效果,我们进行了一系列的实验,研究了彩灯控制器的原理和应用。
本报告将详细介绍实验的目的、方法、结果和讨论。
二、实验目的本实验的目的是设计并实现一种彩灯控制器,能够控制彩灯的亮度、颜色和闪烁频率。
通过实验,我们希望了解彩灯控制器的工作原理,并掌握其设计和调试方法。
三、实验方法1. 实验器材准备:彩灯、控制器、电源、电线等。
2. 搭建实验电路:将控制器与彩灯连接,接通电源。
3. 设置控制参数:根据实验要求,设置彩灯的亮度、颜色和闪烁频率。
4. 进行实验观察:观察彩灯的亮度、颜色和闪烁频率的变化,并记录实验数据。
5. 实验分析:根据实验数据,分析控制器的工作原理和性能。
四、实验结果经过实验观察和数据记录,我们得到了以下实验结果:1. 彩灯亮度控制:通过调节控制器的电压输出,可以实现彩灯的亮度控制。
当电压升高时,彩灯亮度增加;当电压降低时,彩灯亮度减小。
2. 彩灯颜色控制:通过控制器的颜色选择开关,可以实现彩灯的颜色切换。
不同的颜色对应不同的电路连接方式,通过切换开关,可以改变彩灯的颜色。
3. 彩灯闪烁控制:通过调节控制器的闪烁频率,可以实现彩灯的闪烁效果。
当闪烁频率较低时,彩灯呈现持续亮灭的效果;当闪烁频率较高时,彩灯呈现快速闪烁的效果。
五、实验讨论通过实验结果的观察和分析,我们可以得出以下结论:1. 彩灯控制器的亮度控制原理是通过调节电压输出来改变彩灯的亮度。
这是因为彩灯的亮度与电流大小有关,而电流大小又与电压成正比。
2. 彩灯控制器的颜色控制原理是通过改变电路连接方式来改变彩灯的颜色。
不同的颜色对应不同的电路连接方式,通过切换开关,可以改变电路连接方式,从而改变彩灯的颜色。
3. 彩灯控制器的闪烁控制原理是通过调节闪烁频率来改变彩灯的闪烁效果。
闪烁频率较低时,彩灯呈现持续亮灭的效果;闪烁频率较高时,彩灯呈现快速闪烁的效果。
实验四 plc控制节日彩灯实验
实验四:节日彩灯控制实验
姓名:
班级:
学号:
实验四:节日彩灯控制实验
一、实验目的
1、自主学习设计梯形图
2、了解PLC的安装方法
3、学习用PLC实现控制六位彩灯循环右移位
二、实验器材
电子计算机 1台、FX2N-48MR可编程控制器1台、彩灯6个、导线若干
3、实验过程
1、需求分析
要求使用PLC控制6位彩灯循环右移。现要求,当PLC得电,彩灯A、B、C、D、E、F依次点亮1s,然后右移(每次只亮一个),并循环。
2、设计端子分配表
器件功能
PLC端子分配
彩灯A亮/灭
Y0
彩灯B亮/灭
Y1
彩灯C亮/灭
Y2
彩灯D亮/灭
Y3
彩灯E亮/灭Y4彩灯F Nhomakorabea/灭Y5
3、连接电气配线图
图4-1 主电路 图4-2 控制电路
4、梯形图程序及释义
当PLC通电时,M8002的常开触点闭合
4、实验结果
根据电气配电图,连接好线路,给PLC通电,将程序写入,通过观察发现彩灯A亮1s后,彩灯A灭,彩灯B亮;彩灯B亮1s后,彩灯B灭,彩灯C亮;彩灯C亮1s后,彩灯C灭,彩灯D亮;彩灯D亮1s后,彩灯D灭,彩灯E亮;彩灯E亮1s后,彩灯E灭,彩灯F亮;彩灯F亮1s后,彩灯F灭,彩灯A亮......如此循环。
EDA技术实验10-彩灯控制器设计与实现
ENTITY counter_4 IS
PORT
(
clk,rst
: IN
std_logic;
count_out
: OUT integer range 0 to 3 );
std_logic
END xzq4_1; ARCHITECTURE a OF xzq4_1 IS
BEGIN PROCESS (rst,inp) BEGIN
if(rst='1') then output<='0';
else
case inp is
when 0=>output<=in1;
when 1=>output<=in2;
count_out<=temp;
END PROCESS;
17
END a;
-------------------------------------------4进制计数器模块----------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
if clk'event and clk='1'then
if b>=5 then
b:=0;
clk_6<='1';
else
b:=b+1;
clk_6<='0';
end if;
end if;
end if;
end process p2;
p3:process(clk,rst)
variable c:integer range 0 to 20;
PLC控制彩灯实验
实验1 彩灯的PLC控制
一、实验目的
熟练运用梯形图语言进行编程,掌握用PLC控制系统控制彩灯显示。
二、实验要求
1)以实验室西门子SIMATIC S7-200为硬件设备,认识掌握用PLC控制系
统控制彩灯以不同方式点亮的方法。
2)学习STEP7-Micro/WIN4.0软件,运用梯形图语言进行编程。
三、实验设备
1)西门子SIMATIC S7-200 PLC硬件系统
2)西门子SIMATIC S7-200 PLC编程软件STEP7-Micro/WIN4.0
四、实验原理
1、PLC控制系统I/O分配表
2、程序代码(梯形图)
(1)按下启动按钮后,I0.1接通,上微分操作指令将形成一个扫描周期的脉冲,将1赋给输出寄存器QB0(QB0是将Q0.0到Q0.7作为一个字节整体考虑的),即将输出位的第一位进行置1处理,对应Q0.0位的灯点亮。
图1 系统启动
(2)设置延时定时器,延时时间为100ms。
图2 设置定时器
(3)当延时定时器接通时,触发循环移位操作指令,每次循环移动1位,实现彩灯的顺序移位显示。
图3 彩灯移位梯形图
(4)按下停止按钮,将0赋给输出寄存器QB0,灯灭。
图4 停止指令
需要说明的是:在彩灯顺序循环点亮的基础上,可以实现彩灯的间隔循环点亮,即只需改变循环移位指令中N的值。
在此基础上,还可以按照自己的要求实现对彩灯的各种控制操作。
PLC原理与应用》彩灯控制实验
实验五 彩灯控制实验
4.设计梯形图程序,并把程序下载到PLC中进行调试。(参考程序如下:)
如果不 采用移位 和传送指 令能否实 现?
试自行 设计之。
程序调试接线参考图
❖1、我们的市场行为主要的导向因素,第一个是市场需求的导向,第二个是技术进步的导向,第三大导向是竞争对手的行为导向。 ❖2、市场销售中最重要的字就是“问”。 ❖3、现今,每个人都在谈论着创意,坦白讲,我害怕我们会假创意之名犯下一切过失。 ❖4、在购买时,你可以用任何语言;但在销售时,你必须使用购买者的语言。 ❖5、市场营销观念:目标市场,顾客需求,协调市场营销,通过满足消费者需求来创造利润。2021年11月2日星期二 2021/11/22021/11/22021/11/2 ❖6、我就像一个厨师,喜欢品尝食物。如果不好吃,我就不要它。2021年11月2021/11/22021/11/22021/11/211/2/2021 ❖7、我总是站在顾客的角度看待即将推出的产品或服务,因为我就是顾客。2021/11/22021/11/2November 2, 2021 ❖8、利人为利已的根基,市场营销上老是为自己着想,而不顾及到他人,他人也不会顾及你。2021/11/22021/11/22021/11/22021/11/2
实验五 彩灯控制实验
❖ 六、实验报告要求
1. 格式规范,步骤正确; 2. 详细记录每一步的参数设置、实验过程及实验现象与实验结论。
实验五 彩灯控制实验
❖ 七、实验注意事项
1.确保试验台中AC380V,AC220V,DC24V电源的连接正确。第一次实验时, PLC外部接线完毕后,需老师检查无误方可上电运行。 2.注意PC与PLC的正确连接,下载时PLC需通电。 3.注意SM0.1触点的作用及用法。
实验六-循环彩灯
实验六8路彩灯控制实验实验报告实验6 彩灯控制实验1.设计8路彩灯控制电路,并用MAXPLUS 进行仿真,将结果下载到实验箱中,测试电路的正确性。
要求:设计一个8路彩灯控制电路,实现8位LED 每隔1秒全亮、全灭、逐个点亮,延时间隔可由外部增和减按键进行调节,同时将延时间隔显示在数码管上。
注:其中彩灯的样式可以自己进行定义 注意:外部时钟信号由实验箱的信号源提供,需要设计相应的分频电路进行分频,以获得1Hz 的时钟信号2.应包含VHDL 源程序,详细的设计报告,对程序,仿真结果,实验箱运行结果(图片贴到报告中)进行详尽的分析一、实验分析1、彩灯动作:逐个点亮(自左向右)——至全亮——全灭——全亮——全灭——逐个点亮,时间间隔1S ,可通过按键进行调节。
2、本实验由四个模块组成:四频率输出分频器,四选一频率选择器,彩灯控制器和数字频率计。
四选一频率选择器从分频器中选择不同频率的时钟信号输送到彩灯控制器,从而达到控制彩灯闪烁速度的快慢的变换。
控制过程如下图:1)rst 为低电平复位信号,为低电平时,8盏灯保持全灭的状态;输入信号为一个时钟信号,经过分频器分频之后产生频率不同的四个时钟信号。
2)频率选择器可以通过按键输入高电平脉冲来实现四种频率的循环选择。
3)彩灯控制模块实现多彩灯花样循环的控制,时间间隔有频率选择器的输出频率决定。
灯1 灯2 灯3 灯4 灯5 灯6 灯7 灯8 频率选择器 彩灯控制 高电平脉冲 分频器 时钟信号 数字频率计二、VHDL源程序1、四频率输出分频器根据要求有4种速度的变化,而只有一个输入的时钟信号,所以要对输入的时钟信号进行分频,本次设计采用了二分频、四分频、八分频和16分频得到4种频率信号。
二分频VHDL代码如下。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fen2 ISPORT(clk,rst: IN std_logic;clk1:OUT std_logic);END fen2;ARCHITECTURE behav OF fen2 ISBEGINPROCESS(clk,rst)VARIABLE q1:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF rst='0'THENq1:=(OTHERS=>'0');ELSIF clk'event AND clk='1'THENIF q1<"0001" THEN q1:=q1+1;ELSE q1:=(OTHERS=>'0');END IF;END IF;IF q1="0001" THEN clk1<='1';ELSE clk1<='0';END IF;END PROCESS;END behav;四频率输出分频器如下图:模块元件符号如下图:时序仿真图:2、四选一频率选择器四选一频率选择器控的功能是从分频器中选择不同的时钟信号送给彩灯控制器,实现彩灯闪烁频率的变化,VHDL代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sixuanyi isport(f_choose,rst,clk1,clk2,clk3,clk4:in std_logic;z:out std_logic);end sixuanyi;architecture behave of sixuanyi issignal s:std_logic_vector( 1 downto 0);beginone:process(f_choose)beginif rst='0' then s<="00";elsif f_choose'event and f_choose='1'thens<=s+1;end if;end process one;two:process(s,clk1,clk2,clk3,clk4)begincase s iswhen"00"=>z<=clk1;when"01"=>z<=clk2;when"10"=>z<=clk3;when"11"=>z<=clk4;when others =>z<=null;end case;end process two;end behave;模块元件符号如下图:f_choose为频率选择端,每输入一次高脉冲,频率便改变一次,可以通过按键输入高脉冲来实现四种频率的循环选择。
实验八—节日彩灯控制
实验八、节日彩灯控制
一、实验目的:
节日彩灯的循环控制、流水控制等(1个输入点作为启动,8个输出点作为彩灯数或喷水控制阀门)。
本次设计是做的彩灯,用多个定时器来控制灯的流动,基本熟悉PLC定时器的使用。
二、实验目标:
本次实验的彩灯流动程序是,一个一个的流动到最后一个灯,再流回来,再从中间分别向两边流动过去,再从两边流回到中间,再全部闪烁,然后循环。
四、实验梯形图:
三、实验流程图:
五、实验心得:
在我们初入PLC这个词时,不知道如何进行,怎么样学习能,现在我们已经完成了八个实践实验,总结一下我的心得。
编程需要坚强的毅力和足够的耐心。
人各有所长。
有些人把编程看作一项冗长而枯燥的工作;有些人把编程看作一项趣味的智力游戏。
如果你是前者,强烈建议你远离这份工作。
毕竟编程工作是对人的毅力和耐心的挑战。
其实,这是兴趣是
最好的老师。
兴趣会使你具备了足够的毅力和耐心。
经过无数次失败后,当看到一个个符号按你的思路整齐的排列,PLC按我的要求有条不紊的运行时,兴趣得到了极大的满足,如同打通了一个游戏的关口。
所以,觉得PLC枯燥的人看到的是一堆枯燥怪异的符号,有兴趣的人看到的却是一群热情奔放的舞者。
学会交流,1+1>2。
尤其是组内成员,做最后的彩灯时,我们就遇到问题,不能使灯回流。
这让我们不知所措,不过经过我们大家的讨论与实践,逐个语句的调试,最后成功解决了问题,大家都很高兴。
模拟电路实验:实验0607、音乐彩灯控制电路
二、要求
(1)第一路按音量的强弱(信号幅度大小)控制彩灯。 强音时,灯的亮度加大,且灯被点亮的数目增多。
(2)第二路按音调高低(信号频率高低)控制彩灯。低 音(输入信号频率低于400Hz)时,某一部分彩灯点 亮;高音(输入信号频率高于600Hz)时,另一部分 彩灯电亮。
返回
三、设计原理与参考电路
彩灯 驱动器 驱动器
彩灯控制器原理框图
彩灯 彩灯
返回
放大电路设计
采用同相比例放大电路 输出电压Vo=(1+Rf/R1)Vi
Rf
R1
vN
-
vI
vP
A +
vO
R2 = R1//Rf
同相比例放大电路图
返回
精密全波整流电路
20k
D1
10k
Vi
+15V
V o1
–
+15V
10k +
– D2 10k
V o2
-15V
根据任务要求,可将控制器分为二部分来实现 (1)将声音信号变成电信号,经过放大、整流
滤波,来点亮彩灯,以实现声音信号强弱的 控制。 (2)采用高、低通有源滤波电路来实现高、低 音对彩灯的控制。
返回
基本原理框图
传感器
放大器
整流、滤波 电路
驱动器
低通 滤波器路
整流、滤波 电路
高通 滤波器路
整流、滤波 电路
实验内容与步骤
1、分配各级电路的电压增益。 2、确定电路方案 3、在实验电路板上组装电路
返回
LED驱动
+5V
R1
5V
vi 1k
0
fi =40kHz
D SE303
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
彩灯控制实验
一.实验目的
1.熟悉PLC的I/O连接;
2.进一步熟悉PLC的基本指令;
3.进一步熟悉定时器的功能及编程、调试方法。
二.实验内容
1.8位彩灯的“追灯”程序;
2.“追灯”花样的控制;
3.彩灯移位速度的控制;
4.彩灯移位方向的控制。
三.实验步骤
1.接线
在PLC输入端I0.0~I0.7接8个输入开关,I1.0接运行开关,I1.1接复位开关,I1.2接方向控制开关;在PLC输出端Q0.0~Q0.7接8只彩灯。
2.编程
按照题目要求,画出程序流程图并编写相应的控制程序以实现控制目的,并将程序下载到PLC中。
3.运行程序
投入运行前,先用输入开关I0.0~I0.7任意设定一个初值。
将程序投入运行,这时可观察到Q0.0~Q0.7所对应的8只彩灯开始向后依次闪亮,并不断循环,形成”追灯”花样。
4.改变移位初值
通过改变移位初值,可以使追灯得到不同的花样。
5.改变移位速度
改变计时器T0或T1的设定值,可改变移位速度。
6.改变移位方向
通过控制输入开关I1.2的通断,可以改变追灯的方向是向前或是向后。
7.彩灯停止
彩灯工作中,将运行开关断开,则彩灯熄灭,停止工作。
再将运行开关接通,彩灯又开始运行。