第二章VerilogHDL基础

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
❖ 数位扩展:(定义的长度比为常量指定的长度长)
▪ 最高位是0、1,高位用0扩展:8’b1111 等于 8’b00001111 ▪ 最高位是z、x,高位自动扩展:4’bz 等于 4’bzzzz
❖ 数位截断:
▪ 如果长度定义得更小,最左边的位被截断,如:
▪ 3 ‘ b1001_0011 等于 3’b011,5'H0FFF等于5'H1F
HDL和Veriog-XL被广泛推广; ❖ 1993年,OVI推出Verilog2.0,作为IEEE提案提出申请; ❖ 1995年,IEEE(Institute of Electrical and Electronics Engineers)
通过Verilog HDL标准; ❖ 2001年,IEEE 发布了Verilog IEEE 1364-2001标准。
语言要素:常量
❖2. 实数
▪ 十进制计数法;例如
▪ 科学计数法;
23_5.1e2 其值为23510.0,忽略下划线 3.6E2 其值为360.0 ( e与E相同)
实数通常不用于FPGA源代码的常量
语言要素:常量
❖3. 字符串
▪ 字符串是双引号内的字符序列。字符串不能分成多行 书写。例如: "INTERNAL ERROR" " REACHED->HERE “
module block (a,b,c,d); input a,b; output c,d;
assign c= a | b ; assign d= a & b; endmodule
a
c
b
d
时延
❖ Verilog HDL模型中的所有时延都根据时间单位定义。下 面是带时延的连续赋值语句实例。
assign #2 Sum = A ^ B;
RTL级行为描述
❖ 二选一的选择器 门级(结构化)描述
module muxtwo(out,a,b,sl); input a, b, sl;
module muxtwo(out,a,b,sl); input a, b, sl; output out; reg out; always @(sl or a o2] select;
or #1 u4(out, sela, selb);
input a, b, sl;
endmodule
output out;
assign out = sel?b:a;
endmodule
同一电路的多种描述方法
❖ 二选一的选择器(综合)
混合描述
❖ 混合设计方式的1位全加器实例
module FA_Mix (A, B, Cin, Sum, Cout);
❖ 标识符最长可以达到1023个字符。 ❖ 模块名、端口名和实例名都是标识符。 ❖ Verilog语言大小写敏感,
▪ sel 和 SEL 是两个不同的标识符。 ▪ 所有的关键词都是小写的。
语言要素:系统任务和函数
❖ 以$字符开始的标识符表示系统任务或系统函数。 ❖ 任务可以返回0个或多个值,函数除只能返回一个
Verilog HDL历史
❖ 1983年,Gateway Design Automation公司推出Verilog语言,开发 了仿真与验证工具;
❖ 1985年,GDA推出Verilog仿真器Verilog-XL:仿真速度快,处理能 力强,具有交互式调试手段;
❖ 1987年,Synopsys公司的综合软件开始接受Verilog输入; ❖ 1989年,Cadence公司收购GDA,进一步扩大Verilog的影响; ❖ 1990年,Open Verilog International(OVI)成立,推广Verilog
▪ <位宽>’<进制><数字>:标准方式 ▪ ’<进制><数字>:默认位宽,与机器类型有关 ▪ <数字>:不指明进制默认为十进制
❖ 进制
▪ 二进制(b或B):8’b10101100, ’b1010 ▪ 十进制(d或D):4’d1543, 512 ▪ 十六进制(h或H): 8’ha2 ▪ 八进制(o或O):6’O41
❖SystemC:一种软/硬件协同设计语言 ,既是系 统级语言,也是硬件描述语言。
系统建模
❖ 设计方法学
▪ 自顶向下 ▪ 自底向上 ▪ 混合式
❖ 描述方式
▪ 数据流描述:描述电路数据流行为:assign ▪ 行为描述:描述功能:initial,always ▪ 结构化描述:描述元器件间连接关系:例化 ▪ 混合描述:Verilog允许多描述方式共存于同一模块。
简单的Verilog程序
module trist1(out,in,enable); ❖ 三态门
output out; /*输出信号*/ input in, enable; //输入信号
❖ 模块trist1 调用 模 块 mytri 的 实
mytri tri_inst(out,in,enable); 例元件tri_inst;
值以外与任务相同。 ❖ 函数在0时刻执行,即不允许延迟,而任务可以带
有延迟。 ❖ 常用于测试模拟,一般不用于源代码设计。
$display ("Hi, you have reached LT today"); /*$display系统任务在新的一行中显示。* /
$time //该系统任务返回当前的模拟时间。
❖Verilog HDL程序是由模块构成的; ❖每个模块要进行端口定义,并说明输入输出口,然
后对模块的功能进行逻辑描述; ❖Verilog HDL程序的书写格式自由,一行可以写几
个语句,一个语句也可以分写多行; ❖除了endmodule语句外,每个语句和数据定义的最
后必须有分号。
同一电路的多种描述方法
❖ 如果没有这样的编译器指令, Verilog HDL 模拟器会指定 一个缺省时间单位。IEEE Verilog HDL 标准中没有规定 缺省时间单位。
语言要素:标识符
❖ 所谓标识别符就是用户为程序描述中的Verilog 对象所起 的名字。
▪ 模块名、变量名、常量名、函数名、任务名
❖ 标识符必须以英语字母(a-z, A-Z)起头,或者用下横线 符( _ )起头。其中可以包含数字、$符和下划线符。
Verilog HDL现状
❖Verilog HDL是最广泛使用的、具有国际标准支 持的硬件描述语言,绝大多数的EDA厂商都支持;
❖在工业界和ASIC设计领域,Verilog HDL应用更 加广泛。
Verilog HDL与VHDL
❖ VHDL
▪ Very-High-Speed Integrated Circuit Hardware Description Language
❖ 不同点:
▪ Verilog与C语言相似,语法灵活;VHDL源于Ada语言, 语法严格;
▪ Verilog更适合ASIC设计。
SystemVerilog与SystemC
❖SystemVerilog:IEEE 1364 Verilog-2001 标准 的扩展增强,兼容Verilog 2001,将硬件描述语 言(HDL)与现代的高层级验证语言(HVL)结 合。
▪ 用8位ASCII值表示的字符可看作是无符号整数。
为存储字符串“INTERNAL ERROR”,变量需要8 *14位。 reg [1 : 8*14] Message; (Message = “INTERNAL ERROR“)
字符串较少用于FPGA源代码的常量
语言要素:数据类型
❖ 两大类数据类型
wire [msb:lsb] reg1, reg2, ... regN;
❖ x和z值
▪ x:不确定:4’b100x
▪ z:高阻:16’hzzzz,没有驱动元件连接到线网,线网的缺省值为z。
语言要素:常量
❖ 负数:
▪ 在位宽表达式前加一个减号,如 -8’d5 ▪ 减号不可以放在位宽和进制之间,也不可以放在进制和具体的数之间,
如8’d-5
❖ 下划线:
▪ 只能用在具体的数字之间,如16’b1010_1111_1010 ▪ 位数指的是二进制位数。
input A, B, Cin;
output Sum, Cout;
reg Cout;
reg T1, T2, T3;
wire S1;
xor X1(S1, A, B);
// 门实例语句。
always @ ( A or B or Cin )
// always 语句。
begin
T1 = A & Cin;
T2 = B & Cin;
该指令用于定义时延的单位和时延精度。
语言要素:值集合
❖Verilog HDL有下列四种基本的值:
▪ 0:逻辑0或“假” ▪ 1:逻辑1或“真” ▪ x:未知 ▪ z:高阻 (x,z不区分大小写)
❖Verilog HDL中有三类常量:
▪ 整型 ▪ 实数型 ▪ 字符串型
语言要素:常量
1. 整数
❖ 表达方式:
endmodule
❖通过这种结构性
module mytri(out,in,enable); output out;
模块构造可构成 特大型模块。
input in, enable;
assign out = enable? in : 'bz;
endmodule
简单的Verilog程序
❖ 三态门(综合)
简单的Verilog程序
▪ # 2指2个时间单位。使用编译指令将时间单位与物理时间相关联。 这样的编译器指令需在模块描述前定义,如下所示:
` timescale 1ns /100ps
▪ 此语句说明时,延时间单位为1ns并且时间精度为100ps (时间精 度是指所有的时延必须被限定在0.1ns内)。如果此编译器指令所 在的模块包含上面的连续赋值语句, #2 代表2ns。
算法级 RTL 级
门级 开关级
Verilog HDL
系统级 算法级 RTL 级
门级
VHDL
行为级
逻辑级 电路级
Verilog HDL与VHDL
❖ 相同点:
▪ 都能形式化抽象表示电路行为和结构; ▪ 支持逻辑设计中层次与范围的描述; ▪ 具有电路仿真和验证机制; ▪ 与工艺无关。不专门面向FPGA设计
❖ 诞 生 于 1982 年 ; 1987 年 底 被 IEEE 和 美 国 国 防 部 确认为标准硬件描述语言 。
❖IEEE 1076(1983) ❖IEEE 1076-1995 ❖ ……
Verilog HDL与VHDL
❖ 建模层次
▪ 系统级(system): 用高级语言结构实 现设计模块的外部性能的模型。
T3 = A & B;
Cout = (T1 | T2) | T3;
end
assign Sum = S1 ^ Cin;
// 连续赋值语句。
endmodule
混合描述
❖ 混合设计方式的1位全加器实例(综合)
模块基本结构
module 模块名(端口列表); 端口I/O说明 内部信号声明 功能定义
endmodule
语言要素:编译指令
❖ 以`(反引号)开始的某些标识符是编译器指令。
▪ `define 和`undef,很像C语言中的宏定义指令 ▪ `ifdef、`else 和`endif,用于条件编译 ▪ ` include 文件既可以用相对路径名定义,也可以绝对
路径 ▪ ` timescale 编译器指令将时间单位与实际时间相关联。
▪ 算法级(algorithmic): 用高级语言结 构实现设计算法的模型。
▪ RTL级(Register Transfer Level): 描述数据在寄存器之间流动和如何 处理这些数据的模型。
▪ 门级(gate-level): 描述逻辑门以及 逻辑门之间的连接的模型。
▪ 开关级(switch-level): 描述器件中 三极管和储存节点以及它们之间连 接的模型。
output out;
if(!sl) out=a;
wire nsl, sela,selb;
else out=b;
not #1 u1(nsl,sl); // #1是仿真延迟 endmodule
and #1 u2(sela,a,nsl);
布尔代数级行为描述
and #1 u3(selb,b,sl);
module muxtwo(out,a,b,sl);
▪ 线网型
msb和lsb 定义了范围,
▪ 寄存器型
❖ 线网型
▪ 包含下述不同种类的线网子类型
wire
//FPGA设计中,通常只用wire型
tri
并且均为常数值表达式。 范围定义是可选的;如果 没有定义范围,缺省值为 1位线网
wor trior
wire Reset;
wand triand
wire [3:0] data_in;
相关文档
最新文档