计算机仿真课程设计报告
计算机仿真实验实验报告4次
(3)模块复制及删除;
(4)模块的调整
(5)ቤተ መጻሕፍቲ ባይዱ块参数的设置
(6)模块的连接
3、系统仿真运行
(1)在Simulink模型窗口下仿真
(2)在MATLAB命令窗口下仿真
4、仿真结果的输出和保存
(1)利用Scope模块;
(2)利用Out模块(在sinks库中),数据保存在MATLAB工作空间中(有tout和yout两项,分别为仿真时间向量和仿真输出向量),供以后调用和分析;
系统零极点增益模型
状态空间模型
系统模型的转换
系统模型参数的获取
时间延迟系统建模
模型属性设置和获取
系统模型的连接。
实验题目:
1、见教材《机电系统动态仿真》(机械工业出版社,刘白雁编)P-104,习题3、4。
获取已建立模型的参数;
2、系统开环传递函数为:
绘制当K=5、30时系统的Bode图,并判断系统的稳定性;计算K=5、30时系统的幅值和相位裕度;绘制K=5、30时系统的Nyquist图;绘制K=5、30时系统的Nichols图。
转速调节器是调速系统的主导调节器,它使转速n很快地跟随给定电压变化,稳态时可减小转速误差,如果采用PI调节器,则可实现无静差。电流调节器是内环调节器,在外环转速的调节过程中,它的作用是使电流紧紧跟随外环调节器的输出量变化。
实验要求:
根据直流电动机双闭环调速系统机构图,可以建立系统的Simulink仿真模型,经过仿真后,对所得结论进行分析比较,提高系统的动态性能。
3.在[–6,2]范围内用plot和fplot函数分别绘制二维曲线图。
4.绘制z=sin(x)*cos(y)的三维网格和三维曲面图,x,y变化范围均为[0,2π]。
计算机仿真课程设计
计算机仿真 课程设计一、课程目标知识目标:1. 学生能理解计算机仿真的基本概念,掌握仿真模型构建的基本原理。
2. 学生能掌握至少一种计算机仿真软件的使用,并运用该软件完成特定场景的仿真实验。
3. 学生能运用所学的计算机仿真知识,分析并解决实际问题。
技能目标:1. 学生能运用计算机仿真技术进行实验设计和数据分析。
2. 学生具备一定的编程能力,能通过编程实现简单的仿真模型。
3. 学生能够通过小组合作,共同完成一个综合性的计算机仿真项目。
情感态度价值观目标:1. 学生能够认识到计算机仿真的实用价值,培养对科学研究的兴趣和热情。
2. 学生在课程学习中,养成合作、探究、创新的学习习惯。
3. 学生能够遵循学术道德,尊重他人成果,培养诚信意识和责任感。
课程性质:本课程为选修课,旨在提高学生的实践操作能力和创新思维。
学生特点:学生处于高年级阶段,具备一定的计算机操作能力和编程基础。
教学要求:结合课本内容,注重实践操作,提高学生的实际应用能力。
在教学过程中,注重引导学生主动探究,培养学生的团队合作精神和创新能力。
课程目标分解为具体的学习成果,以便于教学设计和评估。
二、教学内容1. 计算机仿真基本概念:仿真模型的构建、仿真算法的选择、仿真结果的分析等。
2. 计算机仿真软件应用:介绍至少一种仿真软件(如MATLAB/Simulink、AnyLogic等)的基本操作和常用功能。
3. 编程实现仿真模型:结合Python、C++等编程语言,实现简单的仿真模型。
4. 实际案例分析与讨论:分析计算机仿真在工程、科研等领域的应用案例,探讨仿真技术的实际价值。
5. 小组项目实践:分组进行计算机仿真项目设计,包括项目选题、模型构建、编程实现、结果分析等环节。
教学内容安排和进度:第一周:计算机仿真基本概念及仿真软件介绍。
第二周:编程语言基础及简单仿真模型编程实现。
第三周:实际案例分析,讨论仿真技术在各领域的应用。
第四周:小组项目实践,指导学生进行项目选题和模型构建。
北邮计算机仿真设计报告
北邮计算机仿真设计报告
摘要
本文以北邮计算机仿真设计实验为对象,实现一个简单的计算机系统。
主要工作如下:设计一个可以模拟类似真实计算机系统的硬件;设计一种
简单流水线指令处理技术;设计一棵用于描述指令执行情况的控制树;设
计一种用于模拟计算机的软件和硬件功能的软件;构造一个测试程序用于
验证设计结果的正确性。
关键词:北邮仿真设计实验计算机硬件软件指令处理流水线控制树
1.引言
近年来,计算机的应用越来越广泛,计算机仿真设计日益受到重视,
它在计算机相关专业研究、软件开发以及大数据应用等领域有着重要的作用。
为了了解计算机系统的操作原理,本实验就在北邮开展了一个简单的
计算机仿真设计实验,主要目的是实现一个可以模拟真实计算机系统的硬
件和软件结构。
2.设计原理
本实验以北邮计算机仿真设计实验为对象,基于不同的模拟器平台实
现一个简单的计算机系统。
具体实现的工作如下:
(1)硬件设计:当前主流计算机的硬件结构比较复杂,因此,本实
验中使用的硬件结构相对简单,只包括CPU、内存、控制器等部件。
计算机仿真课设报告
一、 设计分析: (一)概要分析:PID 控制器是一种基于偏差在“过去、现在和将来”信息估计的有效而简单的控制算法。
而采用 PID 控制器的控制系统其控制品质的优劣在很大程度上取决于 PID 控制器参数的整定。
PID 控制器参数整定,是指在控制器规律己经确定为PID 形式的情况下,通过调整PID 控制器的参数,使得由被控对象、控制器等组成的控制回路的动态特性满足期望的指标要求,达到理想的控制目标。
对于PID 这样简单的控制器,能够适用于广泛的工业与民用对象,并仍以很高的性价比在市场中占据着重要地位,充分地反映了PID 控制器的良好品质。
概括地讲,PID 控制的优点主要体现在以下两个方面: 原理简单、结构简明、实现方便,是一种能够满足大多数实际需要的基本控制器; 控制器适用于多种截然不同的对象,算法在结构上具有较强的鲁棒性,确切地说,在很多情况下其控制品质对被控对象的结构或参数摄动不敏感。
(二)PID 模糊控制的介绍模糊控制的系统结构如下图所示:它主要由PID 控制器和被控对象所组成。
而PID 控制器则由比例、积分、微分三个环节组成。
它的数学描述为:()p D 1e(t)dt 1de(t)u(t)=K [e(t)+++T ] T !!dtr n r -⎰ (2-1) P s+56780G (s)=s3 +87.65s2 +1234s+123(2-2)式中,K p 为比例系数T I;为积分时间常数;T D 为微分时间常数.PID 控制器各校正环节的主要控制作用如下:(l)比例环节及时成比例地反映控制系统的偏差信号e(t),偏差一旦产生,控的作用在于加快系统的响应制器立即产生控制作用,以减少偏差。
比例系数kP越大,系统的响应速度越快,系统的调节精度越高,速度,提高系统调节精度。
kP也就是对偏差的分辨率(重视程度)越高,但将产生超调,甚至导致系统不稳定。
k取值过小,则会降低调节精度,尤其是使响应速度缓慢,从而延长调节时间,P使系统静态、动态特性变坏。
大学生电子仿真实训、课程设计 报告
北京联合大学实验(实习、实训)报告课程(项目)名称:电子仿真实训学院:北联大特教院专业:计算机应用技术班级:200906124301 学号:姓名:成绩:2011年12 月19 日一、摘要计算机仿真是一门利用计算机软件模拟实际环境进行科学实验的技术。
它具有经济、可靠、灵活、安全、实用、可反复使用的优点。
它已成为对许多复杂系统(工程、非工程)进行分析、设计、实验、评估的必不可少的手段。
本次计算机仿真实训课,选择用通用机器人软件、竞赛用器人(四轮驱动车)进行机器人走迷宫遇到黑色线停止的过程(原题是遇到火源灭火,由于机房内部不能有明火,因此改为遇到黑色线停止),在实际应用中,机器人灭火设备可以很好的帮助搜救员完成搜救任务,提高搜救工作效率。
而在科学实验室中,利用机器人走迷宫做研究也很常见。
本次通用机器人仿真实训课就是要模拟类似的场景,完成实验任务。
二、研究方法在实验过程中我们需要用到计算机,“通用机器人”软件,竞赛用机器人(四轮驱动车),数据传输线。
我们需要给机器人配置光敏传感器,来判断地面的颜色,使之能够实现遇到黑色线停止。
还需要配置红外传感器,来判断前方是否有障碍物,使之能够实现自动避障的功能。
光敏传感器光敏传感器是最常见的传感器之一。
它的敏感波长在可见光波长附近,包括红外线波长和紫外线波长。
光传感器不只局限于对光的探测,它还可以作为探测元件组成其他传感器,对许多非电量进行检测,只要将这些非电量转换为光信号的变化即可。
红外传感器是利用红外辐射与物质相互作用所呈现出来的物理效应探测红外辐射的传感器,多数情况下是利用这种相互作用所呈现出的电学效应。
此类探测器可分为光子探测器和热敏感探测器两大类型。
而在实验中我们所用到的是光子探测器。
可以说光敏传感器和红外传感器相当于机器人的眼睛,帮助机器人辨别光线的明暗、色彩的变化,以及周围环境的大致信息,比如:前方是否有障碍物、前方色彩明暗等等。
三、方案设计和工作原理(1)配置场地打开通用机器人软件,标题栏菜单“设置”——“设计场地”,绘制场地如下图所示:需要注意的是,黑直线默认宽度为0.05,需要手动更改宽度为0.1。
计算机仿真技术课程设计
计算机仿真技术课程设计简介计算机仿真技术是一门应用计算机技术模拟真实系统行为的学科,它是一种将现实世界的问题抽象化后通过计算机模拟进行求解的方法。
通过利用计算机对事物进行模拟和计算,可以更好地认识问题,并对问题进行分析和解决。
本次课程设计将围绕计算机仿真技术展开,旨在让学生深入了解仿真技术的应用,亲手实践和掌握仿真模型建立、仿真计算以及仿真结果分析等方面知识,提高学生解决实际问题的能力。
课程设计目标•了解计算机仿真技术的基本原理和应用领域;•掌握仿真模型的建立方法、仿真计算和结果分析;•能够运用计算机仿真技术解决实际问题。
课程设计内容任务一:仿真模型建立首先,学生需要了解仿真模型的基本原理,掌握仿真模型的建立方法。
本次课程设计以一个简单的物理实验为例,考虑一个简单的物体自由落体运动的仿真模型,模拟出物体从静止开始自由落体运动的过程。
学生可以利用Matlab等软件,通过建立精细的数学模型,利用计算机仿真技术进行模拟,得到物体自由落体过程中的速度和位移。
任务二:仿真计算完成了模型的建立后,学生需要掌握仿真计算的方法,进一步确定模型重要参数(如速度、位移等),然后对仿真模型进行计算。
在计算过程中,可以利用一些常见的数学方法,如欧拉法、龙格库塔法等,来计算模型的仿真结果。
学生需要按照课程设计要求进行计算,并展示出计算结果。
任务三:仿真结果分析仿真结果的分析过程是学生掌握计算机仿真技术的重要环节。
在完成了仿真计算后,学生需要对仿真结果进行分析,以确定仿真过程中各种参数的变化和影响。
学生需要综合运用各种数据可视化方法(如散点图、多线图等),对所得到的仿真结果进行分析和比较。
学习方式本次课程设计采用“理论结合实践”的教学方式,旨在培养学生的实践能力。
具体方法包括:•线上课程讲解和线下实验操作相结合,帮助学生深入了解计算机仿真技术;•利用Matlab等仿真软件进行仿真实验,加深学生对仿真原理的理解;•引导学生进行仿真计算和结果分析,注重实践和应用。
计算机仿真实验报告
计算机仿真实验报告实验名称叠加定理的验证串联RLC电路时域相应的测试学生姓名学号所在学院教师叠加定理的验证一、实验目的1. 进一步掌握直流稳压电源和万用表的使用方法。
2. 掌握直流电压与直流电流的测试方法。
3.进一步加深对叠加定理的理解。
二、实验原理叠加定理叠加定理指出全部电源在线性电路中产生的任一电压或电流,等于每一个电源单独作用时产生的相应电压或电流的代数和。
三、测试方法1. 直流电压的测试;2. 直流电流的测试。
四、实验内容1. 实验电路图验证R3两端的电压之和等于V1和V2分别作用在R3上的电压U1与U2之和。
两个电压源都不为零时:a.R3上的电压U0=13.2V;将电压源V1置零后:b.电压源V1置零后R3上的电压: U1=6V,I1=1mA;将电压源V2置零后:c.电压源V2置零后R3上的电压U2=7.2,I2=1.201mA。
经验证:U0=13.2V=U1+U2;I0=I1+I1=2.201mA故叠加定理得到验证。
五、实验器材电压源面包板万用表导线RLC串联谐振电路的测试一、实验目的1. 进一步理解谐振电路的谐振特点。
2.掌握谐振频率、品质因数的测试方法。
3.掌握串联谐振电路频率特性的测试方法。
二、实验原理1.RLC串联谐振电路的条件:含有电阻、电容和电感元件的单口网络,在某些工作频率上,出现端口电压和电流波形相位相同的情况时,称为电路发生谐振。
如图所示RLC串联电路,电路的转移函数电压转移比为H(jω)=U RU=RR+jωL+1jωC=11+j(ωLR−1RωC)因此,电路的谐振角频率和谐振频率分别为:ω0=√LC f0=2π√LC2.RLC串联电路谐振特性(1)谐振时,RLC串联回路的输入阻抗为纯电阻,激励电压与回路电流同相,电阻电压相同与电源电压相同且同相。
(2)谐振时,电感上的电压与电容上的电压幅值相等且反相(实际电路中,因电感有串联等效电阻、电容有并联等效电阻,因此电感两端的电压略高于电容电压),若品质因数Q>1,则谐振时,电容、电感电压是激励电压的Q部,可实现电压放大。
flexsim课程设计报告
flexsim课程设计报告一、教学目标本课程的教学目标是使学生掌握flexsim软件的基本操作和应用,能够利用flexsim进行简单的系统仿真和分析。
具体目标如下:知识目标:使学生了解flexsim软件的基本功能和特点,理解系统仿真的基本概念和方法。
技能目标:使学生能够熟练使用flexsim软件,进行模型的建立、仿真和分析,能够运用flexsim解决实际问题。
情感态度价值观目标:培养学生对系统仿真的兴趣和热情,提高学生运用flexsim软件解决实际问题的积极性和主动性。
二、教学内容教学内容主要包括flexsim软件的基本操作、系统仿真的基本方法和应用。
具体安排如下:第1周:flexsim软件的基本操作,包括软件的安装和卸载,模型的建立、运行和分析。
第2周:系统仿真的基本概念和方法,包括系统的描述、模型的建立和仿真分析。
第3周:flexsim在生产系统中的应用,包括生产线的仿真、调度策略的分析和优化。
第4周:flexsim在交通系统中的应用,包括交通流量的仿真、交通控制策略的分析和优化。
三、教学方法教学方法采用讲授法、操作演示法、案例分析法和实验法相结合。
通过讲解和演示使学生掌握flexsim软件的基本操作,通过案例分析使学生了解flexsim在实际中的应用,通过实验使学生熟练使用flexsim进行系统仿真和分析。
四、教学资源教学资源包括教材、多媒体资料和实验设备。
教材选用《flexsim教程》作为主教材,多媒体资料包括教学PPT和案例视频,实验设备包括计算机和flexsim软件。
教学资源能够支持教学内容和教学方法的实施,帮助学生更好地学习和掌握flexsim软件。
五、教学评估教学评估采用多元化的评价方式,包括平时表现、作业、考试和项目报告。
平时表现主要评估学生的课堂参与和提问,作业评估学生的基本概念和操作技能,考试评估学生的综合应用能力,项目报告评估学生的实际应用和问题解决能力。
评估方式客观、公正,能够全面反映学生的学习成果。
计算机仿真技术基础课程设计 (2)
计算机仿真技术基础课程设计一、设计背景计算机仿真技术是一种通过计算机模拟真实系统或过程的方法,以便研究其行为或结果的技术。
随着计算机技术的不断发展,计算机仿真技术已经成为了许多领域的重要工具,如航空、汽车、化工、医学等。
因此,在计算机专业的教学中,引入计算机仿真技术的基础课程意义重大。
二、课程目标与结构2.1 课程目标本课程旨在使学生:•理解计算机仿真技术的基本概念、基本原理和方法;•掌握计算机仿真技术的主要工具和技能,包括计算机语言、特定软件和硬件平台的应用;•具备利用计算机仿真技术进行系统分析、优化和决策的能力,逐渐培养其创新意识和实践能力。
2.2 课程结构本课程包括以下几个部分:2.2.1 基本概念介绍计算机仿真技术的基本概念,如仿真、模型、模拟等概念;介绍计算机仿真技术的发展历史和应用领域。
2.2.2 基本原理介绍计算机仿真技术的基本原理,包括离散事件仿真、连续系统仿真、混合仿真等原理;介绍计算机仿真技术的主要理论和方法。
2.2.3 基础技术介绍计算机仿真技术的基础技术,包括计算机语言的应用、特定软件的使用和硬件平台的组装;介绍计算机仿真技术的主要工具和技能,如MATLAB、Simulink、Arena等工具的应用。
2.2.4 应用案例介绍计算机仿真技术在不同领域的应用案例,如工业控制、智能交通、医学模拟等案例;介绍计算机仿真技术在应用中的优点和不足。
三、课程教学方法3.1 讲授教学法通过讲授基本概念、基本原理、基础技术和应用案例等知识点来让学生掌握计算机仿真技术的基础知识和技能。
3.2 实践教学法通过具体的实践操作,如编程实现模型、使用仿真工具进行系统仿真等,来让学生掌握计算机仿真技术的应用技巧和实践能力。
3.3 研讨教学法通过研讨和讨论计算机仿真技术的应用案例和实践经验,来让学生深入了解计算机仿真技术的优缺点和发展趋势。
四、课程评价方式本课程的主要评价方式为课堂讨论和实践作业,其中包括:•课堂参与度:对学生在课堂上的表现进行评价;•实践作业:通过实践作业来检验学生对计算机仿真技术的掌握程度;•期末考试:综合考察学生对课程内容的理解和应用能力。
仿真课程设计报告
仿真课程设计报告一、教学目标本课程的教学目标是使学生掌握XX学科的基本知识,理解XX学科的基本概念、原理和方法,提高学生的实际操作能力,培养学生的创新意识和团队协作能力。
具体分为以下三个方面:1.知识目标:通过本课程的学习,学生将掌握XX学科的基本知识和理论,了解XX学科的发展趋势和应用领域。
2.技能目标:学生将能够运用XX学科的基本原理和方法,解决实际问题,提高学生的动手能力和实践技能。
3.情感态度价值观目标:通过本课程的学习,学生将培养对XX学科的兴趣和热情,树立科学的世界观和价值观,增强社会责任感。
在制定教学目标时,充分考虑了课程性质、学生特点和教学要求,将目标分解为具体的学习成果,以便后续的教学设计和评估。
二、教学内容根据课程目标,本课程的教学内容主要包括XX学科的基本概念、原理和方法,以及实际应用案例。
教学大纲如下:1.第一章:XX学科概述介绍XX学科的基本概念、发展历程和应用领域。
2.第二章:XX学科基本原理讲解XX学科的基本原理,并通过实例进行分析。
3.第三章:XX学科实际应用介绍XX学科在实际中的应用案例,分析其原理和操作方法。
4.第四章:XX学科实验技能讲解实验原理和方法,引导学生进行实验操作,培养实践能力。
教学内容的选择和确保了科学性和系统性,有利于学生掌握XX学科的知识体系。
三、教学方法为了激发学生的学习兴趣和主动性,本课程将采用多种教学方法,包括讲授法、讨论法、案例分析法和实验法等。
具体方法如下:1.讲授法:通过教师的讲解,使学生掌握XX学科的基本知识和理论。
2.讨论法:引导学生就某一问题进行讨论,培养学生的思考能力和团队协作精神。
3.案例分析法:通过分析实际案例,使学生了解XX学科在实际中的应用,提高学生的实践能力。
4.实验法:引导学生进行实验操作,培养学生的动手能力和实践技能。
四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将选择和准备以下教学资源:1.教材:选用国内权威出版的XX学科教材,确保知识体系的科学性和系统性。
matlab仿真课程设计报告
matlab仿真课程设计报告一、教学目标本课程的教学目标旨在通过MATLAB仿真技术的学习,使学生掌握MATLAB基本操作、仿真环境搭建、脚本编写及图形用户界面设计等技能,培养学生运用MATLAB解决实际问题的能力。
具体目标如下:1.知识目标:–理解MATLAB的系统结构及基本功能;–掌握MATLAB基本语法、数据类型、矩阵运算;–熟悉MATLAB仿真环境及相关工具箱;–了解MATLAB在工程领域的应用。
2.技能目标:–能够独立搭建简单的仿真环境;–能够运用MATLAB进行数据分析、算法实现;–具备编写MATLAB脚本及图形用户界面的能力;–能够运用MATLAB解决实际工程问题。
3.情感态度价值观目标:–培养学生的创新意识、团队协作精神及自主学习能力;–使学生认识到MATLAB在工程领域的重要性,提高学习兴趣;–培养学生运用所学知识解决实际问题的责任感。
二、教学内容本课程的教学内容主要包括MATLAB基础知识、MATLAB仿真环境及工具箱、脚本编写及图形用户界面设计等。
具体安排如下:1.MATLAB基础知识:–MATLAB概述及系统结构;–MATLAB基本语法、数据类型、矩阵运算。
2.MATLAB仿真环境及工具箱:–MATLAB仿真环境搭建;–MATLAB常用工具箱介绍,如控制系统、信号处理、图像处理等。
3.脚本编写及图形用户界面设计:–MATLAB脚本编写方法及技巧;–MATLAB图形用户界面设计原理及实例。
4.MATLAB在工程领域的应用:–利用MATLAB解决实际工程问题案例分析。
三、教学方法本课程采用讲授法、案例分析法、实验法等多种教学方法相结合,以激发学生的学习兴趣和主动性。
具体方法如下:1.讲授法:通过讲解MATLAB的基本概念、语法及应用,使学生掌握课程基本知识。
2.案例分析法:分析实际工程案例,让学生了解MATLAB在工程领域的应用,提高学生解决实际问题的能力。
3.实验法:安排适量实验,让学生动手操作,培养学生的实际操作能力和创新能力。
计算机仿真实验报告
目录实验一Matlab语言编程 (1)一.实验目的 (1)二.具体实验内容、步骤、要求: (1)实验二数值积分算法及函数调用练习 (3)一.实验目的 (3)二.实验实例介绍: (3)实验三控制工具箱与SIMULINK软件应用 (9)一.实验目的 (9)二.实验预习要求: (9)三.学会调出、运行已由SIMULINK建立的仿真模型。
(9)四.实验设计题目与要求: (10)实验一 Matlab 语言编程一. 实验目的熟悉Matlab 语言及其编程环境,掌握编程方法 要求认真听取实验指导老师讲解与演示二. 具体实验内容、步骤、要求:1.运行交互式学习软件,学习Matlab 语言2.在Matlab 的命令窗口下输入如下命令:INTRO,然后根据显示出来的幻灯片右面按钮进行操作,可按START —>NEXT —>NEXT 按钮,一步步运行,观察。
3.自编程序并完成上机编辑、调试、运行,存盘。
(1). 用Matlab 命令完成矩阵的各种运算,例如:⎥⎥⎥⎥⎦⎤⎢⎢⎢⎢⎣⎡=44434241343332312423222114131211A 求出下列运算结果,并上机验证。
A(:,1),A(2,:),A(1:2,2:3),A(2:3,2:3),A(:,1:2),A(2:3), A(:),A(:,:),ones(2,2), eye(2)⎥⎥⎥⎥⎦⎤⎢⎢⎢⎢⎣⎡=41312111A(:,1)[]24232221:)A(2,=⎥⎦⎤⎢⎣⎡=232213123):2,2:A(1 ⎥⎦⎤⎢⎣⎡=333223223):3,2:A(2⎥⎥⎥⎥⎦⎤⎢⎢⎢⎢⎣⎡=42413231222112112):A(:,1[]31213):A(2=⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎥⎦⎤⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎢⎣⎡=44342414433323134232221241312111A(:)⎥⎥⎥⎥⎦⎤⎢⎢⎢⎢⎣⎡=44434241343332312423222114131211:)A(:,⎥⎦⎤⎢⎣⎡=1111)2,2(ones ⎥⎦⎤⎢⎣⎡=1001)2(eye(2). 绘制数学函数图形t=0:0.1:8;y=1-2*t.*sin(t); plot(t,y)12345678-15-10-551015时间t输出y绘制数学函数图形4.理解命令文件和函数文件的区别,并自编函数文件并调用。
MATLAB计算机仿真设计
《计算机仿真技术》课程设计姓名:学号:班级: 1专业:学院:2016年12月24日目录一、设计目的 (1)二、设计任务 (1)三、具体要求 (1)四、设计原理概述 (1)五、设计内容 (2)六、设计方案及分析 (2)1、观察原系统性能指标 (2)2、手动计算设计 (6)3、校正方案确定 (8)七、课程设计总结 (14)模拟随动控制系统的串联校正设计一、设计目的1、通过课程设计熟悉频域法分析系统的方法原理。
2、通过课程设计掌握滞后-超前校正作用与原理。
3、通过在实际电路中校正设计的运用,理解系统校正在实际中的意义。
二、设计任务 控制系统为单位负反馈系统,开环传递函数为)1025.0)(11.0()(G ++=s s s K s ,设计校正装置,使系统满足下列性能指标:开环增益100K ≥;超调量30%p σ<;调节时间ts<0.5s 。
三、具体要求1、使用MATLAB 进行系统仿真分析与设计,并给出系统校正前后的MATLAB 仿真结果,同时使用Simulink 仿真验证;2、使用EDA 工具EWB 搭建系统的模拟实现电路,分别演示并验证校正前和校正后的效果。
四、设计原理概述校正方式的选择:按照校正装置在系统中的链接方式,控制系统校正方式分为串联校正、反馈校正、前馈校正和复合校正4种。
串联校正是最常用的一种校正方式,这种方式经济,且设计简单,易于实现,在实际应用中多采用这种校正方式。
串联校正方式是校正器与受控对象进行串联链接的。
本设计按照要求将采用串联校正方式进行校正。
校正方法的选择:根据控制系统的性能指标表达方式可以进行校正方法的确定。
本设计要求以频域指标的形式给出,因此采用基于Bode 图的频域法进行校正。
几种串联校正简述:串联校正可分为串联超前校正、串联滞后校正和滞后-超前校正等。
超前校正的目的是改善系统的动态性能,实现在系统静态性能不受损的前提下,提高系统的动态性能。
通过加入超前校正环节,利用其相位超前特性来增大系统的相位裕度,改变系统的开环频率特性。
《计算机仿真课程设计》
华东交通大学理工学院课程设计报告书所属课程名称题目分院专业班级学号学生姓名指导教师20 12 年6 月20日华东交通大学理工学院课程设计(论文)任务书专业班级姓名一、课程设计(论文)题目直流电动机的MATLAB仿真二、课程设计(论文)工作:自 2012 年 6 月 13 日起至2012 年 6 月 21 日止。
三、课程设计(论文)的内容要求:直流电动机的机械特性仿真;直流电动机的起动和制动仿真;直流电动机电枢串联电阻启动仿真;直流电动机能耗制动仿真;直流电动机反接制动仿真;直流电动机改变电枢电压调速仿真;直流电动机改变励磁电流调速仿真。
要求:编写M文件,在Simulink环境画仿真模型原理图,用二维画图命令画仿真结果图或用示波器观察仿真结果,并加以分析。
学生签名:2012 年6 月20 日课程设计(论文)评阅意见评阅人职称讲师2011年6 月20 日目录第一章课程设计内容及要求 (5)第二章直流电动机的电力拖动仿真绘制 (6)第三章 MALTAB基本操作 (21)第四章Matlab程序的设计原则 (24)第五章课程设计心得 (25)第六章参考文献 (26)第一章课程设计内容及要求1. 直流电动机的机械特性仿真;2. 直流电动机的直接起动仿真;3. 直流电动机电枢串联电阻启动仿真;4. 直流电动机能耗制动仿真;5.直流电动机反接制动仿真;6. 直流电动机改变电枢电压调速仿真;7. 直流电动机改变励磁电流调速仿真。
要求:编写M文件,在Simulink环境画仿真模型原理图,用二维画图命令画仿真结果图或用示波器观察仿真结果,并加以分析第二章直流电动机的电力拖动仿真绘制1)直流电动机的机械特性仿真clear;U_N=220;P_N=22;I_N=115;n_N=1500;R_a=0.18;R_f=628;Ia_N=I_N-U_N/R_f;C_EPhi_N=(U_N-R_a*Ia_N)/n_N;C_TPhi_N=9.55*C_EPhi_N;Ia=0;Ia_N;n=U_N/C_EPhi_N-R_a/(C_EPhi_N)*Ia;Te=C_TPhi_N*Ia;P1=U_N*Ia+U_N*U_N/R_f;T2_N=9550*P_N/n_N;figure(1);plot(Te,n,'.-');xlabel('电磁转矩Te/N.m');ylabel('转矩n/rpm');ylim([0,1800]);figure(2);plot(Te,n,'rs');xlabel('电磁转矩Te/N.m');ylabel('转矩n/rpm');hold on;R_c=0;for coef=1:-0.25;0.25;U=U_N*coef;n=U/C_EPhi_N-(R_a+R_c)/(C_EPhi_N*C_TPhi_N)*Te;plot(Te,n,'k-');str=strcat('U=',num2str(U),'V');s_y=1650*coef;text(50,s_y,str);endfigure(3);n=U_N/C_EPhi_N-(R_a+R_c)/(C_EPhi_N*C_TPhi_N)*Te;plot(Te,n,'rs');xlabel('电磁转矩Te/N.m');ylabel('转矩n/rpm');hold on;U=U_N;R_c=0.02;for R_c=0:0.5:1.9;n=U/C_EPhi_N-(R_a+R_c)/(C_EPhi_N*C_TPhi_N)*Te;plot(Te,n,'k-');str=strcat('R=',num2str(R_c+R_a),'\Omega');s_y=400*(4-R_c*1.8);text(120,s_y,str);endylim([0,1700]);figure(4);R_c=0;n=U_N/C_EPhi_N-(R_a+R_c)/(C_EPhi_N*C_TPhi_N)*Te; plot(Te,n,'rs');xlabel('电磁转矩Te/N.m');ylabel('转矩n/rpm');hold on;U=U_N;R_c=0.02;for R_c=0.5:0.25:1.3;C_EPhi=C_EPhi_N*coef;C_TPhi=C_TPhi_N*coef;n=U/C_EPhi_N-(R_a+R_c)/(C_EPhi_N*C_TPhi_N)*Te;plot(Te,n,'k-');str=strcat('\phi=',num2str(coef),'*\phi_N');s_y=900*(4-coef*2.2);text(120,s_y,str);endylim([0,3500]);a)固有机械特性 b)降低电枢电压人为机械特性c) 增加电枢电阻人为机械特性 d) 改变磁通人为机械特性clear;U_N=220;P_N=22;I_N=115;n_N=1500; R_a=0.18; R_f=628;Ia_N=I_N-U_N/R_f;C_EPhi_N=(U_N-R_a*Ia_N)/n_N; C_TPhi_N=9.55*C_EPhi_N; %他励直流电动机的工作特性 Ia=0:Ia_N;n=U_N/C_EPhi_N-R_a/(C_EPhi_N)*Ia; Te=C_TPhi_N*Ia; Te_p=Te*10;figure(5);plot(Ia,n,'r.-',Ia,Te_p,'b.-');xlabel('电枢电流Ia/A');ylabel('转速n/rpm,电磁转矩Te/N.m');text(30,1500,'转速n');text(50,500,'电磁转矩Te(X10)');%串励直流电动机的工作特性R_f=0.3;k=0.01;C_E=C_EPhi_N/k/Ia_N;n=U_N./(C_E*k.*Ia)-(R_a+R_f)/(C_E*k);start_p=30;Ia_p=Ia(start_p:length(Ia));n_p=n(start_p:length(n))./1;C_T=C_TPhi_N/k/Ia_N;Te=k*C_T.*Ia.*Ia;Te_p=Te*30;figure(6);plot(Ia_p,n_p,'r.-',Ia,Te_p,'b.-');xlabel('电枢电流Ia/A');ylabel('转速n(rpm),电磁转矩Te/(N.m)');text(39,6000,'转速n');text(20,1500,'电磁转矩Te(X30)');a)他励直流电动机工作特性b)串励直流电动机工作特性2)直流电动机直接起动仿真直流电动机直接起动时,起动电流很大,可以达到额定电流的10-20倍,由此产生很大的冲击转矩。
计算机仿真课程设计
ASIC逻辑综合实验标准实验报告《电子设计自动化技术》课程组一、设计名称数字显示电子钟二、设计原理本实验设计的芯片主要完成简单的数字钟功能的行为,将该芯片与电源连接便可达到电子钟的效果。
芯片实现的整个过程如下:先接一个4Mhz的晶振,然后经过分频,分别得到1hz和250hz的信号。
然后把1hz的信号接入整个计数系统电路中,通过控制不同的按键可以改计数以达到校时的功能!秒,分,时可以通过六个七段数码管显示!其扫描频率是250hz!三、设计功能块结构图如上图所示:电子钟主要由四个组成部分:时基分频器,计时器,显示电路,控制电路,实验通过4Mhz时钟,分频产生s(秒)时钟,对秒进行计数,并通过led数码管进行扫描显示。
1:时基分频器:对基本时钟(4Mhz)进行分频得到秒时钟(s)和扫描时钟(250hz)。
2:计时器:主要包括s计时器(60进制)、min计时器(60进制)、hr计时器(12进制)。
3:显示电路:用6位扫描数码管显示(七段数码管),扫描始终用250hz。
4:控制电路:对电子表进行起停和复位,清零的控制。
四、综合后的报告:1、specify clockPeriod 50Edge rising 5.00 falling 5.002、map designMap effort mediumArea effort medium 3、综合后的报告截图面积报告如下:四:时序报告(DELAYFILE(SDFVERSION "OVI 2.1")(DESIGN "shuzizhong")(DATE "Tue Apr 21 01:02:55 2009")(VENDOR "IC05CScore_33_typ")(PROGRAM "Synopsys Design Compiler cmos")(VERSION "X-2005.09-SP2")(DIVIDER /)(VOLTAGE 3.30:3.30:3.30)(PROCESS "TYPICAL")(TEMPERATURE 25.00:25.00:25.00)(TIMESCALE 1ns)(CELL(CELLTYPE "shuzizhong")(INSTANCE)(DELAY(ABSOLUTE(INTERCONNECT U486/YN U567/A (0.000:0.000:0.000))(INTERCONNECT hour2_reg\[3\]/Q U567/B (0.000:0.000:0.000)) (INTERCONNECT hour2_reg\[1\]/Q U566/A (0.000:0.000:0.000)) (INTERCONNECT U528/YN U566/B (0.000:0.000:0.000))(INTERCONNECT U483/YN U565/A (0.000:0.000:0.000))(INTERCONNECT hour1_reg\[3\]/Q U565/B (0.000:0.000:0.000)) (INTERCONNECT hour1_reg\[1\]/Q U564/A (0.000:0.000:0.000)) (INTERCONNECT minite1_reg\[3\]/Q U561/B (0.000:0.000:0.000))(INTERCONNECT minite1_reg\[1\]/Q U560/A (0.000:0.000:0.000)) (INTERCONNECT U525/YN U560/B (0.000:0.000:0.000))(INTERCONNECT U507/YN U559/A (0.000:0.000:0.000))(INTERCONNECT second2_reg\[3\]/Q U559/B (0.000:0.000:0.000)) (INTERCONNECT second2_reg\[1\]/Q U558/A (0.000:0.000:0.000)) (INTERCONNECT U534/YN U558/B (0.000:0.000:0.000))(INTERCONNECT U500/YN U557/A (0.000:0.000:0.000)) (INTERCONNECT second1_reg\[3\]/Q U557/B (0.000:0.000:0.000)) (INTERCONNECT second1_reg\[1\]/Q U556/A (0.000:0.000:0.000))(INTERCONNECT U531/YN U556/B (0.000:0.000:0.000))(INTERCONNECT cnt2_reg\[4\]/Q U555/A1 (0.000:0.000:0.000)) (INTERCONNECT cnt2_reg\[3\]/Q U555/A2 (0.000:0.000:0.000)) (INTERCONNECT U554/Y U555/A3 (0.000:0.000:0.000))(INTERCONNECT cnt2_reg\[6\]/Q U554/A1 (0.000:0.000:0.000)) (INTERCONNECT cnt2_reg\[5\]/Q U554/A2 (0.000:0.000:0.000)) (INTERCONNECT cnt2_reg\[2\]/Q U554/A3 (0.000:0.000:0.000)) (INTERCONNECT cnt1_reg\[11\]/Q U553/A1B (0.000:0.000:0.000))五:网标文件:library IEEE;use IEEE.std_logic_1164.all;package CONV_PACK_shuzizhong is-- define attributesattribute ENUM_ENCODING : STRING;end CONV_PACK_shuzizhong;library IEEE;use IEEE.std_logic_1164.all;use work.CONV_PACK_shuzizhong.all;entity code7_3 isport( indata : in std_logic_vector (3 downto 0); q : in std_logic; outdata : out std_logic_vector (6 downto 0));end code7_3;architecture SYN_cod of code7_3 iscomponent IN01D0port( A : in std_logic; YN : out std_logic);end component;component ND02D1port( A1, A2 : in std_logic; YN : out std_logic);end component;component ND13D1port( A3B, A1, A2 : in std_logic; YN : out std_logic);end component;component OAI211D1port( A1, A2, B, C : in std_logic; YN : out std_logic); component NR02D1port( A1, A2 : in std_logic; YN : out std_logic);end component;component AOI12D1port( A1, A2, B : in std_logic; YN : out std_logic);end component;component OAI221D1port( A1, A2, B1, B2, C : in std_logic; YN : out std_logic); end component;component AOI22D1port( A1, A2, B1, B2 : in std_logic; YN : out std_logic);六;时序仿真波形:1:十二小时数字钟波形仿真延时分析:分频电路的仿真波形波形分析由于16000分频的时间太长所以y在仿真时一直是0 延时电路七:实验结果1:把源程序放在share文件夹中,打开liux4,输入命令在工作站上登陆,并打开一个terminal。
计算机仿真技术实验报告
《计算机仿真技术》实验指导书实验一 状态空间模型的仿真一、实验目的通过实验,学习4阶龙格-库塔法的基本思路和计算公式,加深理解4阶龙格-库塔法的原理和稳定域。
加深理解仿真的稳定性,仿真步长对仿真精度的影响。
二、实验内容1、线性定常系统[]1112223332010002001010060000600x x x x x u y x x x x -⎡⎤⎡⎤⎡⎤⎡⎤⎡⎤⎢⎥⎢⎥⎢⎥⎢⎥⎢⎥=-+=⎢⎥⎢⎥⎢⎥⎢⎥⎢⎥⎢⎥⎢⎥⎢⎥⎢⎥⎢⎥-⎣⎦⎣⎦⎣⎦⎣⎦⎣⎦&&&;)(1000)0()0()0(321t u x x x =⎥⎥⎥⎦⎤⎢⎢⎢⎣⎡=⎥⎥⎥⎦⎤⎢⎢⎢⎣⎡2、非线性系统()()()()()()()()xt rx t ax t y t yt sx t bx t y t =-⎧⎨=-+⎩&& 其中:r=0.001, a=2⨯10-6, s=0.01, b=1⨯10-6, x(0)=12000, y(0)=600。
三、实验原理运用SIMULINK 仿真工具进行实验。
四、实验设备和仪器微型计算机、MATLAB 软件。
Sources(信号源),Sink(显示输出),Continuous(线性连续系统),Discrete(线性离散系统),Function & Table (函数与表格),Math(数学运算), Discontinuities (非线性),Demo (演示)五、实验方法运行MA TLAB ,在MA TLAB 窗口中按SimuLink 按钮,启动SimuLink 库浏览器,在浏览器窗口上选create a new modem 命令,得到一个空模型,从Library: SimuLink 窗口中找到需要的模块,将这些模块拖到空模型窗口中。
将空模型窗口中的排好,并按要求连接。
在保存好的模型窗口中,选Simulation\Paramters 命令设置各模块的参数和仿真参数。
燕山大学数字通信计算机仿真课程设计报告模板
□⑵窗函数法■①确定理想频率响应理想低通滤波誥的传输虧数丹j为”网问<Q)C0 伦叱Q M JFK M用应的单位取样响应叭n为其中截I上频率❻------------------ -----------------------------□400J—— -------- pz1000 1400珅忠低迪滤波器理俎带通滤波器带通滤波器:「\胡(%(”-"))一血(引⑺一①)其中截止频率%二2打竺,四“强列Z Z—匕1,滤波器长度N需要先设定.2岂■②加窗h()i] = h(H)-5rj»).截取其中一段,可以是各种窗函数押⑺卜其中截止频率伦二2JT*£□载波产生x(/?)=4'Cos 2zr/+fliA/-其中M二1//,刃二omb N为基带倍号总点数(左匸8000血N=640h A为常数…如果基带信号用血)来表示…丸如N调制过程为!咆二咖・卅),加(U2…儿□卷积工(灯)=x( n)x(n): OJ,—-?Zj _ i ah( n) \0丄…;一1”m ) = h(>n\x\ n tn)m—o? nt—«H: 0,…,妇+£j - Lm: 0,1,…,厶—1,n w) = 0 * 当并■ M c 0或打JW A厶+ £2* Ivoid convolotion{ float xfM],float h[127] afloat y[Ml)j»l 1;Hoiit blfiwni; 1=M+N;for(i=0 ;i<l;i++)(bl[i1=4j0;funj=(l;J<NJ++)bl[11=bl{i]+4:elsebl[ll+=hDMHhfor(i=0;i<M:i++>y[i]=hlfi+(X-]^2h口噪声陶匀分布白噪声和高斯分布白嗓声rand()产生个隧机数,范国为DORLAND MAX-则尸=(T.®严)严心严e[-MJ•如舉产生七为n的序列•世*:服从詢勻分布,由吒数宦律可知:独立问分布的葩机娈呈相加服从高斷分布。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
、北京理工大学珠海学院课程设计任务书2010 ~2011 学年第 2学期学生姓名:林泽佳专业班级:08自动化1班指导教师:钟秋海工作部门:信息学院一、课程设计题目:《控制系统建模、分析、设计和仿真》本课程设计共列出10个同等难度的设计题目,编号为:[0号题]、[1号题]、[2号题]、[3号题]、[4号题]、[5号题]、[6号题]、[7号题]、[8号题]、[9号题]。
学生必须选择与学号尾数相同的题目完成课程设计。
例如,学号为8xxxxxxxxx2的学生必须选做[2号题]。
二、课程设计内容(一)《控制系统建模、分析、设计和仿真》课题设计内容|!"[2有波纹控制器Dy(z)和一单位速度信号输入时的最少拍无波纹控制器Dw(z)。
具体要求见(二)。
(二)《控制系统建模、分析、设计和仿真》课题设计要求及评分标准【共100分】,1、求被控对象传递函数G(s)的MATLAB描述。
(2分)2、求被控对象脉冲传递函数G(z)。
(4分)3、转换G(z)为零极点增益模型并按z-1形式排列。
(2分)4、确定误差脉冲传递函数Ge(z)形式,满足单位加速度信号输入时闭环稳态误差为零和实际闭环系统稳定的要求。
(6分)5、确定闭环脉冲传递函数Gc(z)形式,满足控制器Dy(z)可实现、最少拍和实际闭环系统稳定的要求。
(8分)6、根据4、5、列写方程组,求解Gc(z)和Ge(z)中的待定系数并最终求解Gc(z)和Ge(z) 。
(12分)7、求针对单位加速度信号输入的最少拍有波纹控制器Dy(z)并说明Dy(z)的可实现性。
(3分)!8、用程序仿真方法分析加速度信号输入时闭环系统动态性能和稳态性能。
(7分)9、用图形仿真方法(Simulink)分析单位加速度信号输入时闭环系统动态性能和稳态性能。
(8分)10、确定误差脉冲传递函数Ge(z)形式,满足单位速度信号输入时闭环稳态误差为零和实际闭环系统稳定的要求。
(6分)11、确定闭环脉冲传递函数Gc(z)形式,满足控制器Dw(z)可实现、无波纹、最少拍和实际闭环系统稳定的要求。
(8分)12、根据10、11、列写方程组,求解Gc(z)和Ge(z)中的待定系数并最终求解Gc(z)和Ge(z) 。
(12分)13、求针对单位速度信号输入的最少拍无波纹控制器Dw(z)并说明Dw(z)的可实现性。
(3分)14、用程序仿真方法分析单位速度信号输入时闭环系统动态性能和稳态性能。
(7分)15、用图形仿真方法(Simulink)分析单位速度信号输入时闭环系统动态性能和稳态性能。
&(8分)16、根据8、9、14、15、的分析,说明有波纹和无波纹的差别和物理意义。
(4分)三、进度安排6月13至6月14:下达课程设计任务书;复习控制理论和计算机仿真知识,收集资料、熟悉仿真工具;确定设计方案和步骤。
6月14至6月16:编程练习,程序设计;仿真调试,图形仿真参数整定;总结整理设计、仿真结果,撰写课程设计说明书。
6月16至6月17:完成程序仿真调试和图形仿真调试;完成课程设计说明书;课程设计答辩总结。
[四、基本要求1.学生应按照课程设计任务书的要求独立分析、解决问题,按计划完成课程设计任务;2.不得抄袭或找人代做,否则按考试作弊处理;3. 学生在完成课程设计时须提交不少于3000字课程设计说明书;说明书结构为:(1)封面,(2)任务书,(3)摘要,(4)关键词,(5)目录,(6)正文,(7)参考文献;教研室主任签名:年月日摘要]本课程设计报告主要阐述了使用Matlab软件建模、分析、设计和仿真最少拍控制系统的过程。
先由给定的连续被控对象传递函数G(s),求出被控对象脉冲传递函数G(z);再根据典型输入信号类型和G(z)的零极点、Gc(z)和Ge(z)阶数相同,定出闭环脉冲传递函数Gc(z)和误差脉冲传递函数Ge(z)。
然后分别求出满足闭环系统稳定且稳态误差为零的单位加速度输入最少拍有波纹控制器Dy(z)和单位速度输入最少拍无波纹控制器Dw(z)。
再使用程序仿真方法和图形仿真方法(Simulink)仿真设计好的控制系统在给定输入信号下的动态性能和稳态特性,验证设计是否满足要求。
关键词:Matlab 、控制系统、最少拍、波纹、仿真\]目录一、课程设计任务书 (1)二、摘要 (4)三、关键词 (4)四、课程设计内容 (6)1、Matlab简介 (6)2、最少拍系统设计 (6)①单位加速度输入有波纹 (6)'②单位速度输入无波纹 (9)3、设计的步骤和结果 (12)五、课程设计的体会和遇到的问题 (17)六、参考文献 (18)。
>课程设计内容1、Matlab简介MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。
它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、连接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。
2、最少拍控制系统设计!①单位加速度输入有波纹M文件代码:z=[-2,-6];p=[0,0,-1,-5,-8];k=668;Gs=zpk(z,p,k) %求被控对象传递函数G(s)的MATLAB描述T=;Gz=c2d(Gs,T)Gz=c2d(Gs,T,'zoh') %用零阶保持器离散化,求被控对象脉冲传递函数G(z)[z,p,k]=zpkdata(Gz); %求出G(z)的极点,零点及增益…Gz=zpk(z,p,k,,'variable','z^-1') %转换Gz为零极点增益模型按z-1形式排列syms z a0 a1 a2 b0 b1 %配置参数Gz=*z^-1*(1+*z^-1)**z^-1)**z^-1)*(1+*z^-1)/(1-z^-1)^2/*z^-1)/*z^-1)/* z^-1)Gcz=z^-1*(1+*z^-1)*(a0+a1*z^-1+a2*z^-2);%设置闭环脉冲传递函数Gc(z),满足Dy(z)可实现、最少拍和稳定的要求f1=subs(Gcz,z,1)-1; %.列方程求解a0 a1 a2,定Gcz&f2=subs(diff(Gcz,1),z,1);f3=subs(diff(Gcz,2),z,1);[a0j,a1j,a2j]=solve(f1,f2,f3) ;Gcz=subs(Gcz,[a0 a1 a2],[a0j a1j a2j]);Gez=(1-z^-1)^3*(b0+b1*z^-1); %设置Ge(z)f4=1-subs(Gez,z,; %6.方程求解b0,b1定Gezf5=1-subs(Gez,z,Inf);}[b0j b1j]=solve(f4,f5) ;Gez=subs(Gez,[b0 b1],[b0j b1j]);Guz=Gcz/Gz;Dyz=Gcz/Gz/Gez; %求单位加速度输入最少拍有波纹控制器Dy(z)[Nc,Dc]=numden(simplify(Gcz)); %化简Gcz并取系数用于程序仿真numc=sym2poly(Nc);~denc=sym2poly(Dc);[Nu,Du]=numden(simplify(Guz)); %化简Guz并取系数用于程序仿真numu=sym2poly(Nu);denu=sym2poly(Du);t=0::1;u=t.*t/2; %单位加速度输入hold ondlsim(numc,denc,u);|dlsim(numu,denu,u);hold off[N,D]=numden(simplify(Dyz)) %提取Dyz的系数,以此在图形仿真中设置Dyz numdy=sym2poly(N)dendy=sym2poly(D)有波纹最少拍程序仿真截图:)有波纹最少拍图形仿真截图:闭环系统输出Y(z)图形仿真结果'控制器输出U(z)图形仿真图②单位速度输入无波纹:M文件代码:z=[-2,-6];p=[0,0,-1,-5,-8];k=668;&Gs=zpk(z,p,k) %求被控对象传递函数G(s)的MATLAB描述T=Gz=c2d(Gs,T)Gz=c2d(Gs,T,'zoh') %用零阶保持器离散化,求被控对象脉冲传递函数Gz[z,p,k]=zpkdata(Gz) ; %求出其极点,零点及增益Gz=zpk(z,p,k,,'variable','z^-1') %转换Gz为零极点增益模型按z-1形式排列syms z r0 r1 c0 c1 c2 c3 c4 %配置系数}Gz=*z^-1*(1+*z^-1)**z^-1)**z^-1)*(1+*z^-1)/(1-z^-1)^2/*z^-1)/*z^-1)/* z^-1)Gcz=z^-1*(1+*z^-1)**z^-1)**z^-1)*(1+*z^-1)*(r0+r1*z^-1) %设置Gc(z)满足控制器Dy(z)可实现、最少拍稳定的要求。
f1=subs(Gcz,z,1)-1; %列方程求解Gcf2=subs(diff(Gcz,1),z,1) ;[r0j,r1j]=solve(f1,f2);Gcz=subs(Gcz,[r0 r1],[r0j r1j]):Gez=(1-z^-1)^2*(c0+c1*z^-1+c2*z^-2+c3*z^-3+c4*z^-4) %设置Ge(z)f3=limit(Gez,z,inf)-1; %列方程求解Gez f4=subs(Gez,z,-1 ;f5=subs(Gez,z,-1 ;f6=subs(Gez,z,-1;f7=subs(Gez,z,-1;[c0j,c1j,c2j,c3j,c4j]=solve(f3,f4,f5,f6,f7)-Gez=subs(Gez,[c0 c1 c2 c3 c4],[c0j c1j c2j c3j c4j])Guz=Gcz/GzDwz=Gcz/Gz/Gez[N,D]=numden(simplify(Gcz));numc=sym2poly(N)denc=sym2poly(D)[N,D]=numden(simplify(Guz));:numu=sym2poly(N)denu=sym2poly(D)t=0::10u=t %单位速度输入hold ondlsim(numc,denc,u) % .程序仿真dlsim(numu,denu,u)}hold off[N,D]=numden(simplify(Dwz)); %提取Dyz的系数,图形仿真中设置Dwz. numdy=sym2poly(N)dendy=sym2poly(D)无波纹最少拍程序仿真图:)~无波纹最少拍图形仿真图:无波纹最少拍闭环系统输出Y(z)图形仿真结果~无波纹最少拍闭环系统数字控制器输出U(z)图形仿真结果3、设计的步骤和结果1.求被控对象传递函数G(s)的MATLAB描述。