EDA选择题(含答案)
eda选择题等
一、选择题1、对CPLD器件特点描述正确的是:A:不能多次编程 B:集成度低于PAL和GALC:内部触发器少 D:可以加密答案:D2、对FPGA器件特点描述正确的是:A:采用EEPROM工艺 B:采用SRAM工艺C:集成度比PAL和GAL低 D:断电后配置数据不丢失答案:B3、PLD器件未编程时_______:A:有逻辑功能 B:没有逻辑功能C:PAL器件有逻辑功能 D:GAL器件有逻辑功能答案:B4、GAL器件可以用擦除:A:普通光 B:紫外线 C:红外线 D:电答案:D5、可以进行在系统编程的器件是:A:EPRO B:PAL C:GAL D:CPLD答案:D6、CPLD和FPGA的不同特性:A:高密度 B:髙速度 C:在系统编程 D:加密答案:D7、CPLD内部含有多个逻辑单元块,每个逻辑单元块相当于一个()器件: A:PAL B:GAL C:FPGA D:EPROM答案:B8、可以进行在系统编程的器件是:A:EPROM B:PAL C:GAL D:FPGA答案:D9、GAL16V8的_______不可编程:A:与阵列 B:或阵列 C:输出逻辑宏单元OLMC D:A、B都答案:B10、在系统可编程器件一般使用计算机的()编程:A:串口 B:并口 C:USB口 D:VGA口答案:B11、可编程逻辑器件PLD的基本结构形式是_______:A:与——与 B:与——或 C:或——与 D:或——或答案:B12、可以多次编程的器件是_______:A:PROM B:PLA C:PAL D:GAL答案:D13、GAL16V8器件的输出引脚最多有______:A:16 B:4 C:8 D:20答案:C15、对CPLD器件特点描述正确的是:A:不能多次编程 B:可以多次编程 C:使用紫外线擦除 D:使用红外线擦除答案:B16、PAL16V8器件的输入引脚最多有_______:A:16 B:4 C:8 D:20答案:A17、只能一次编程的器件是:A:PAL B:GAL C:CPLD D:FPGA答案:A二、填空题、简答题1. 数字系统设计方法有:1、模块设计法2、自顶向下设计法 3、自底向上法等2.AHDL的全拼?A ltera H ardware D escription L anguage3.什么是实体?实体作为一个设计实体的组成部分,其功能是对这个设计实体与外部电路进行接口描述,实体是设计实体的表层设计单元,实体说明部分规定了设计单元的输入输出接口信号或引脚,它是设计实体对外的一个通信界面。
EDA习题集
《电子设计自动化(EDA)》习题集第一章、EDA技术概述一、填空题1、一般把EDA技术的发展分为 、、 三个阶段。
2、EDA设计流程包括 、 、、 四个步骤。
3、EDA的设计验证包括 、 、 三个过程。
4、EDA的设计输入包括 、 、 。
5、当前最流行的并成为IEEE标准的硬件描述语言包括和 。
6、将硬件描述语言转化为硬件电路的重要工具软件称为 。
二、单项选择题1、VHDL语言属于 描述语言。
A.普通硬件 B. 行为 C. 高级D. 低级2、基于硬件描述语言HDL的数字系统设计目前最常用的设计方法为A. 自底向上B. 自顶向下C. 积木式D. 顶层3、在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为A.仿真器 B. 综合器 C. 适配器 D.下载器4、在EDA工具中,能完成目标系统器件上布局软件称为A.仿真器 B. 综合器 C. 适配器 D.下载器 第2章、大规模可编程逻辑器件 一、填空题1、集成度是集成电路一项重要的指标,可编程逻辑器件按集成密度可分为 和 两类。
2、可编程逻辑器件的编程方式可分为 和 两类。
3、基于EPROM、E2PROM和快闪存储器件的可编程器件,在系统断电后编程信息 。
4、基于SRAM结构的可编程器件,在系统断电后编程信息 。
5、CPLD器件中至少包括 、 、 三种结构。
6、FPGA的三种可编程电路分别是 、、 三种结构。
7、根据逻辑功能块的大小不同,可将FPGA分为和 两类;据FPGA内部连线结构的不同,可将FPGA分为 和 两类;据FPGA采用的开关元件不同,可将FPGA分为 和 两类.8、目前常见的可编程逻辑器件的编程和配置工艺包括基于 、基于 和基于 三种编程工艺。
二、 单项选择题1、在下列可编程逻辑器件中,不属于高密度可编程逻辑器件的是A. EPLDB. CPLDC. FPGAD. PAL2、在下列可编程逻辑器件中,属于易失性器件的是A. EPLDB. CPLDC. FPGAD. PAL3、在自顶向下的设计过程中,描述器件总功能的模块一般称为A.底层设计 B. 顶层设计 C. 完整设计 D. 全面设计4、边界扫描测试技术主要解决 的测试问题A.印制电路板 B. 数字系统 C. 芯片 D. 微处理器 三、 简答题1、CPLD和FPGA有什么差异?在实际应用中各有什么特点?第3章、VHDL编程基础一、填空题1、VHDL设计实体的基本结构由 、、 、 和 等部分组成。
EDA技术(山东联盟)智慧树知到答案章节测试2023年泰山学院
绪论单元测试1.电子设计自动化的英文缩写是EDA。
A:错B:对答案:B2.EDA课程学习要求的五个一是指A:一种技术——EDAB:一种语言——HDLC:一套软件——QuartusIID:一套实验系统E:一个设计目标——数字系统F:一种器件——FPGA/CPLD答案:ABCEF3.学好EDA技术课程的标志是最后可以利用EDA方法设计出一个复杂的数字电子系统。
A:对B:错答案:A4.小组合作学习的目的包括A:相互激励克服困难B:通过交流锻炼表达能力C:分工协作以完成复杂任务D:独立工作展现个人魅力E:互帮互学答案:ABCE5.混合式学习的内涵包括A:独立学习与合作学习的混合B:线上线下学习的混合C:老师讲授与学生自学的混合D:理论学习与实践学习的混合答案:ABCD第一章测试1.基于硬件描述语言的数字系统设计目前不太常用的设计方法是()设计法。
A:自顶向下B:层次化C:自底向上D:顶层设计答案:C2.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。
下面关于综合的描述错误的是A:综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B:为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C:综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是不唯一的。
D:综合是纯软件的转换过程,与器件硬件结构无关。
答案:D3.所列哪个流程是基于EDA软件的正确的FPGA / CPLD设计流程A:原理图/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试B:原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试C:原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试D:原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试答案:C4.IP核在EDA技术和开发中具有十分重要的地位,以版图文件方式提供的IP被称为()。
EDA期末必考选择题及答案
老师发话了。
EDA考试题目:大题第一题考奇数分频,名称解释考:SOPC,期中考的TTL和coms的连接方式不考大题第一题考奇数分频,名称解释考:SOPC,期中考的TTL和coms的连接方式不考1、IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为____A___。
A .软IP B.固IP C.硬IP D.都不是2、综合是EDA设计流程的关键步骤,在下面对综合的描述中,___D_是错误的。
A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
3、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是_C。
A.FPGA是基于乘积项结构的可编程逻辑器件;B.FPGA是全称为复杂可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。
4、进程中的变量赋值语句,其变量更新是___A__。
A.立即完成;B.按顺序完成;C.在进程的最后完成;D.都不对。
5、VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述__D__。
A.器件外部特性;B.器件的综合约束;C.器件外部特性与内部功能;D.器件的内部功能。
6、不完整的IF语句,其综合结果可实现_A___。
A. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路7、在VHDL语言中,下列对时钟边沿检测描述中,错误的是___D____。
EDA考试题题库及答案
EDA考试题题库及答案一、选择题1.一个项目的输入输出端口是定义在(A)A、实体中;B、结构体中;C、任何位置;D、进程中。
2.QuartusII中编译VHDL源程序时要求(C)A、文件名和实体可以不同名;B、文件名和实体名无关;C、文件名和实体名要相同;D、不确定。
3.VHDL语言中变量定义的位置是(D)A、实体中中任何位置;B、实体中特定位置;C、结构体中任何位置;D、结构体中特定位置。
4.可以不必声明而直接引用的数据类型是(C)A、STD_LOGIC;B、STD_LOGIC_VECTOR;C、BIT;D、ARRAY。
5.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是(C)A、FPGA全称为复杂可编程逻辑器件;B、FPGA是基于乘积项结构的可编程逻辑器件;C、基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D、在Altera公司生产的器件中,MAX7000系列属FPGA结构。
6.下面不属于顺序语句的是(C)A、IF语句;B、LOOP语句;C、PROCESS语句;D、CASE语句。
7.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是(A)A、器件外部特性;B、器件的内部功能;C、器件的综合约束;D、器件外部特性与内部功能。
8.进程中的信号赋值语句,其信号更新是(C)A、按顺序完成;B、比变量更快完成;C、在进程的最后完成;D、都不对。
9.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C)A、仿真器B、综合器C、适配器D、下载器10.VHDL常用的库是(A)A、IEEE;B、STD;C、WORK;D、PACKAGE。
11.在VHDL中,用语句(D)表示clock的下降沿。
A、clock'EVENT;B、clock'EVENT AND clock='1';C、clock='0';D、clock'EVENT AND clock='0'。
EDA技术课后答案
EDA习题第一章1。
1 EDA的英文全称是什么?EDA的中文含义是什么?答:EDA即Electronic Design Automation的缩写,直译为:电子设计自动化。
1.2 什么叫EDA技术?答:EDA技术有狭义和广义之分,狭义EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术.1。
3 利用EDA技术进行电子系统的设计有什么特点?答:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。
1。
4 从使用的角度来讲,EDA技术主要包括几个方面的内容?这几个方面在整个电子系统的设计中分别起什么作用?答:EDA技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统.其中,硬件描述语言是重点。
对于大规模可编程逻辑器件,主要是了解其分类、基本结构、工作原理、各厂家产品的系列、性能指标以及如何选用,而对于各个产品的具体结构不必研究过细。
对于硬件描述语言,除了掌握基本语法规定外,更重要的是要理解VHDL的三个“精髓”:软件的强数据类型与硬件电路的惟一性、硬件行为的并行性决定了VHDL语言的并行性、软件仿真的顺序性与实际硬件行为的并行性;要掌握系统的分析与建模方法,能够将各种基本语法规定熟练地运用于自己的设计中。
对于软件开发工具,应熟练掌握从源程序的编辑、逻辑综合、逻辑适配以及各种仿真、硬件验证各步骤的使用.对于实验开发系统,主要能够根据自己所拥有的设备,熟练地进行硬件验证或变通地进行硬件验证。
EDA完整版答案
1. 一个项目的输入输出端口是定义在 A 。
A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。
A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是 A 。
A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。
A. 文件名和实体可以不同名B. 文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. VHDL语言中变量定义的位置是 D 。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置7. VHDL语言中信号定义的位置是 D 。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置8. 变量是局部量可以写在 B 。
A. 实体中B. 进程中C. 线粒体D. 种子体中9. 变量和信号的描述正确的是 A 。
A. 变量赋值号是:=B. 信号赋值号是:=C. 变量赋值号是<=D. 二者没有区别10. 变量和信号的描述正确的是 B 。
A. 变量可以带出进程B. 信号可以带出进程C. 信号不能带出进程D. 二者没有区别11. 关于VHDL数据类型,正确的是 B 。
A. 数据类型不同不能进行运算B. 数据类型相同才能进行运算C. 数据类型相同或相符就可以运算D. 运算与数据类型无关12. 下面数据中属于实数的是 B 。
A. 4.2B. 3C. …1‟D. “11011”13. 下面数据中属于位矢量的是 D 。
A. 4.2B. 3C. …1‟D. “11011”14. 关于VHDL数据类型,正确的是 B 。
A. 用户不能定义子类型B. 用户可以定义子类型C. 用户可以定义任何类型的数据D. 前面三个答案都是错误的15. 可以不必声明而直接引用的数据类型是 C 。
《EDA技术》期末测试参考答案
《EDA技术》期末测试参考答案《EDA技术》期中测试参考答案⼀、选择题(每⼩题2分,共计20分。
)1、VHDL语⾔共⽀持四种常⽤库,其中哪种库是⽤户的VHDL设计当前⼯作库()。
A.IEEE库B.VITAL库C.STD库D.WORK⼯作库2、VHDL的process进程语句是并⾏语句,它的内部是由( )语句构成的A. 并⾏语句和顺序语句B.顺序语句C.并⾏语句D.任意语句3、元件例化语句的作⽤是()。
A.描述元件模块的算法B.改善并⾏语句及其结构的可读性C.产⽣⼀个与某元件完全相同的⼀组并⾏元件D.在⾼层次设计中引⽤前⾯已经设计好的元件或电路模块4、在VHDL的并⾏语句之间,可以⽤( )来传递信息。
严格讲是D,但选C也可,因为⼀般情况下并⾏语句之间是⽤信号来传递信息的。
A.常量(Constant)B.变量(Variable)C.信号(Signal)D.变量和信号5、以下关于VHDL中常量的声明正确的是()。
A.Constant delay :Integer = 8B.Constant delay:Integer := 8C.Variable delay:Integer = 8D.Variable delay:Integer := 86、在VHDL语⾔中,下列对时钟边沿检测描述中,错误的是( )。
A. if clk’event and clk = ‘1’ thenB. if rising_edge(clk) thenC. if clk’event and clk = ‘0’ thenD.if clk’stable and not clk = ‘1’ then7、下列关于VHDL标识符的说法正确的是()(多选)A.标识符由26个英⽂字母和数字0~9以及下划线组成,其中字母不区分⼤⼩写。
B.标识符必须由英⽂字母开始,不连续使⽤下划线,且不能以下划线结束,C.标识符中可以包含空格D.标识符不允许与VHDL中的关键字重合8、下列对FPGA结构与⼯作原理的描述中,正确的是( )。
电子科大20春《EDA技术》在线作业1答案44916
电子科大20春《EDA技术》在线作业1红字部分为答案!单选题1.在VHDL中,结构体内部是由()语句组成的。
A.顺序B.并行C.顺序和并行D.任何2.库(LIBRARY)包括哪几大类A.IEEE 库、STD 库、面向ASIC的库、用户定义库B.IEEE 库、STD 库、WORK库、用户定义库C.IEEE 库、STD 库、WORK库、面向ASIC的库、用户定义库D.STD 库、WORK库、面向ASIC的库、用户定义库3.下面哪一条命令是MAXPLUSII在时序仿真时执行加载节点的命令()。
A.file- set project to current fileB.assign-pin/location chipC.node-enter node from SNFD.file-create default symbol4.在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP ()中的信号名关联起来。
A.=B.:=C.<=D.=>5.EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式A.512x8,1024x4,2048x2,4096x1B.256x8,512x4,1024x2,2048x1C.256x4,512x2,1024x1D.256x16,512x8,1024x4,2048x26.下列关于变量的说法正确的是A.变量是一个局部量,它只能在进程和子程序中使用。
B.变量的赋值不是立即发生的。
C.在进程的敏感信号表中,既可以使用信号,也可以使用变量。
D.变量赋值的一般表达式为目标变量名表达式。
7.进程语句的启动条件是A.wait语句或敏感信号量B.wait语句C.敏感信号量D.wait语句或且敏感信号量8.VHDL数据对象有A.常量、变量。
EDA选择题题库(65题)_附答案
EDA选择题题库教师组卷、学生备考用1、在EDA工具中,能完成在目标系统器件上布局布线软件称为( C )。
A.仿真器B.综合器C.适配器D.下载器2、在执行Quartus Ⅱ的( D )命令,可以精确分析设计电路输入与输出波形间的延时量。
A .Create default symbol B.SimulatorC. CompilerD.Timing Analyzer3、在Verilog HDL中,用语句( D )表示clock的下降沿。
A. posedge clockB. negedge clockC. clock==1’b0D. clock==1’b14、QuartusII中编译Verilog源程序时要求( C )。
A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定5、Verilog语言对大小写是( D )。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6、在Verilog语言中,标识符描述正确的是( A )。
A. 必须以英文字母或下划线开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7、符合Verilog标准的标识符是( A )。
A. A_2B. A+2C. 2AD. 228、符合Verilog标准的标识符是( A )。
A. a_2_3B. a*2C. 2_2_aD. 2a9、不符合Verilog标准的标识符是 C 。
A. a_1_inB. a_in_2C. 2_aD. asd_110、下面数据中属于实数的是( A )。
A. 4.2B. 3C. 1’b1D. 5’b1101111、下面数据中属于位矢量的是( D )。
A. 4.2B. 3C. 1’b1D. 5’b1101112、运算符优先级的说法正确的是( A )。
A. NOT的优先级最高B. AND和NOT属于同一个优先级C. NOT的优先级最低D. 前面的说法都是错误的13、运算符优先级的说法正确的是( D )。
edA考试卷(带答案)
2008~2009学年第一学期EDA技术A卷适用:06级电子信息工程专业EDA技术A一、填空题:(共20分,每空1分)1、在VHDL程序设计中,常用的库有(IEEE库)(STD )(WORK )库等。
2、Max_plusII为原理图输入设计配备了各种需要的元件库,它们分别是(基本逻辑元件库)(宏功能元件库)(宏功能块LPM库)。
3、采用原理图输入设计的文件后缀为(.gdf ),采用波形图输入设计的文件后缀为(.wdf )4、在VHDL中的数值类属性测试函数主要有(left)(right)(high )和LOW。
5、FPGA/CPLD的设计流程为(设计输入)、(综合)(适配)(时序仿真与功能仿真)(编程下载)(硬件测试)。
6、若D<= “11” & ‘00’ & “01”,则D的值为(“110001”)。
7、若定义W : BUFFER STD_LOGIC_VECTOR(0 TO 5),程序中有W<=“100111”;则W(2)的值为(0 )。
8、定义signal f,g:std_logic_vector(5 downto 0); 若f的值为“101011”,若执行g<=(5=>f(1),4=>’1’, others=>f(4)); 则g的值是(110000 )。
二、简答题:(共10分)1、VHDL程序一般包括几个组成部分,每部分的作用是什么?答:VHDL程序一般包括3个组成部分,它们是(1)实体,它描述的是电路器件的端口构成和信号属性;(2)结构体,描述设计实体的内部结构和外部设计实体端口间的逻辑关系;(3)库及程序包的声明,在设计实体中的语句可以使用库中相应程序包的数据和文件。
2、什么叫顺序语句,它的适用范围是什么?VHDL有那几种基本的顺序语句?答:执行顺序与它们的书写顺序基本一致的语句叫顺序语句,顺序语句只能出现在进程和子程序中,子程序包括函数和过程。
EDA技术习题集及答案
第一章 EDA概述一、填空题1.2000年推出的Pentium 4微处理器芯片的集成度达——万只晶体管。
2.一般把EDA技术的发展分为——、——和——三个阶段。
3.在EDA发展的——阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PcB)布局布线等工作。
4.在EDA发展的——阶段,人们可以将计算机作为单点设计工具,并建立各种单元库,开始用计算机将许多单点工具集成在一起使用。
5.EDA设计流程包括——、——、——和——四个步骤。
6.EDA的设计验证包括——、——和——三个过程。
7.EDA的设计输入主要包括——、——和———。
8.文本输入是指采用——进行电路设计的方式。
9.功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为——。
10.时序仿真是在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为——或——。
11.当前最流行的并成为1EEE标准的硬件描述语言包括————和——。
12.采用PLD进行的数字系统设计,是基于芯片的设计或称之为——的设计。
13.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为——的设计法。
14.EDA工具大致可以分为——、——、———、———和——等五个模块。
15.将硬件描述语吉转化为硬件电路的重要工具软件称为——————。
二、单项选择题1.将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( )。
①设计输入②设计输出③仿真④综合2.一般把EDA技术的发展分为( )几个阶段。
①2 ②3 ③4 ④53.AHDL属于( )描述语言。
①普通硬件②行为③高级④低级4.vHDL属于( )描述语言。
①普通硬件②行为③高级④低级5.包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线,生成编程数据文件等操作的过程称为( )。
EDA复习资料
※<习题三>一、填空题1、VHDL语言是__ ______标准化语言。
2、一个完整的VHDL程序包含:__ ___、___ __、__ ___、 ___ __、__ ____五个部分。
3、____ ___部份说明了设计模块的输入/输出接口信号或引脚。
4、____ ___部份描述了设计模块的具体逻辑功能。
5、VHDL提供了四种端口模式:___ __、___ __、___ __、 __ ___。
6、关键字实体的英文是:__ ___。
7、关键字结构体的英文是:___ __。
8、VHDL语言常用的库有:__ ___、__ ___、 ____ _。
9、结构体的描述方式主要有:___ __和__ ___。
10、IEEE库常用的程序包有:__ ___、 _____、 ____ _。
11、程序包由: _____和__ ___构成二、选择题1、VHDL语言程序结构中必不可少的部分是:()(A)库(B)程序包(C)配置(D)实体和结构体2、VHDL语言端口模式中不允许内部引用该端口信号的是():(A)IN(B)OUT(C)BUFFER(D)INOUT3、下面哪种VHDL库使用时不需声明():(A)IEEE库(B)ASIC库(C)WORK 库(D)ALTERA库4、下面哪种VHDL库使用时不需声明():(A)IEEE库(B)ASIC库(C)STD 库(D)ALTERA库5、能反馈输出信号至内部的端口模式是():(A)IN(B)OUT(C)BUFFER(D)INOUT6、CLK为输入信号,其正确的端口说明是:()(A)CLK:IN BIT (B)CLK:OUT BIT (C)CLK:INOUT BIT (D)CLK:BUFFER BIT7、Q0为输出信号,但内部设计会用到其反馈信号,其正确的端口说明是:()(A)CLK:IN BIT (B)CLK:OUT BIT (C)CLK:INOUT BIT (D)CLK:BUFFER BIT8、STD_LOGIC_1164程序包的正确声明方法是:()(A)USE STD_LOGIC_1164 (B)USE IEEE.STD_LOGIC_1164(C)USE IEEE.STD_LOGIC_1164.ALL (D)USEWORK.STD_LOGIC_1164.ALL9、类属说明的正确格式是:()(A)GENERIC(delay:TIME=20us); (B)GENERIC(delay:TIME:=20us);(C)GENERIC(delay TIME=20us); (D)GENERIC(delay=TIME:=20us);10、使用STD_LOGIC数据类型,必须声明库()(A)ALTERA (B)STD (C)IEEE (D)WORK三、判断题1、IEEE库使用时必须声明。
《EDA技术》复习题-电子版答案
一、填空题1、目前国际上较大的PLD器件制造公司有Altera 和Xilinx 公司。
2、当前最流行并成为IEEE标准的硬件描述语言包括VHDL 和V erilog HDL 。
3、高密度可编程逻辑器件HDPLD包括EPLD 、CPLD 和FPGA 。
4、PLD从集成密度上可分为LDPLD 和HDPLD两类,其中HDPLD包括__EPLD_ ____、__ CPLD_____和___FPGA _____三种。
5、EDA设计流程包括设计准备、设计输入、设计处理和器件编程四个步骤。
6、图形文件的扩展名是_.bdf__;QuartusII所建工程的扩展名是_.qpf_;自建元件图形符号文件的扩展名_.bsf__;Verilog HDL所编程序的扩展名为__.v__ 。
7、图形文件的扩展名是 .bdf ;矢量波形文件的扩展名是.vwf ;自建元件图形符号文件的扩展名 .bsf 。
8、可编程逻辑器件的优化过程主要是对__速度__和___资源___的处理过程即时间优化和面积优化。
9、EDA设计输入主要包括__图形输入__、__ 文本输入和__ 波形__输入。
10、设计处理的最后一步是产生可供器件编程使用的数据文件,对CPLD来说是产生熔丝图文件即JEDEC文件,对于FPGA来说是产生位流数据文件Bitstream 。
11、EDA设计输入主要包括图形输入、文本输入和波形输入。
12、设计优化主要包括面积优化和速度优化。
13、Verilog HDL语言的扩展名为 .v 。
14、EDA的中文名称是电子设计自动化。
15、EDA仿真分为功能仿真又称前仿真、系统级仿真或行为仿真,用于验证系统的功能;时序仿真又称后仿真、电路级仿真,用于验证系统的时序特性、系统性能。
16、一般把EDA技术的发展分为_ __CAD____、__ CAE_____和___EDA____三个阶段。
17、阻塞型赋值符号为= ,非阻塞型赋值符号为<= 。
EDA程序设计试题及答案
1.请画出下段程序的真值表,并说明该电路的功能。
LIBRARY ieee;USE ieee。
std_logic_1164。
all;ENTITY aaa ISPORT( oe,dir :IN STD_LOGIC ;a,b :INOUT STD_LOGIC_VECTOR(7 DOWNTO 0 );END aaa ;ARCHITECTURE ar OF aaa ISBEGINPROCESS(oe , dir )输入输出BEGIN a1 a0 x3 x2 x1 x0 IF oe=’0' THEN a〈=”zzzzzzzz”;b〈="zzzzzzzz”; 0 0 0 0 0 1 ELSIF oe=’1’THEN 0 1 0 0 1 0 IF dir='0’THEN b<=a; 1 0 0 1 0 0ELSIF dir=’1’THEN a〈=b; 1 1 1 0 0 0ENDIF;END IF ;END PROCESS ;END ar ;功能为:2-4译码器…………………………………………。
4分2.请说明下段程序的功能,写出真值表,并画出输入输出波形。
LIBRARY ieee;USE ieee。
std_logic_1164。
all;USE ieee。
std_logic_arith.all;USE ieee。
std_logic_unsigned.all;ENTITY aaa ISPORT( reset,clk:IN STD_LOGIC;q: BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0));END aaa;ARCHITECTURE bd OF aaa ISBEGINPROCESS(clk,reset)BEGINIF (rese t=’0') THEN q〈=”000";ELSIF (clk'event AND clk='1’)THENIF (q=5)THEN q<="000";ELSE q〈=q+1;END IF;END IF;END PROCESS;END bd;功能为:带进位借位的4位加/减法器 (3)输入输出波形图如下:………………………………………………………7分ma[b[3。
电子科技大学22春“电子信息工程”《EDA技术》作业考核题库高频考点版(参考答案)试题号4
电子科技大学22春“电子信息工程”《EDA技术》作业考核题库高频考点版(参考答案)一.综合考核(共50题)1.下例程序执行后,X和Y的值分别为()。
Process(A,B,C) variable D:std_logic; begin D:=A; XA.B+C 和B+AB.B+A和B+CC.B+C和B+CD.B+A和B+A参考答案:B2.下面关于信号和变量的比较,错误的是()。
A.信号赋值可以有延迟时间B.变量赋值无时间延迟C.变量可以看作硬件的一根连线D.进程对信号敏感参考答案:C3.EDA设计流程包括()、设计输入、设计处理和器件编程四个步骤。
A.设计准备B.总体设计C.详细设计D.设计数据参考答案:A4.下面对利用原理图输入设计方法进行数字电路系统设计的描述中,哪一种说法是不正确的()。
A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计B.原理图输入设计方法一般是一种自底向上的设计方法C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法也可进行层次化设计5.在VHDL中,结构体内部是由()语句组成的。
A.顺序B.并行C.顺序和并行D.任何参考答案:A6.EDA的设计验证包括功能仿真、()和器件测试三个过程。
A.形式仿真B.时序仿真C.数值仿真D.行为仿真参考答案:B7.符合1988VHDL标准的标识符是()。
A.a_2_3B.a_2C.2_2_aD.2a参考答案:A8.国际上生产FPGA/CPLD的三家主流公司为()。
A.Altera、Xilinx、Lattice公司B.Altera、Marax、Lattice公司C.IBM、Xilinx、Lattice公司D.Altera、Xilinx、AD公司参考答案:AEDA的设计验证包括()、时序仿真和器件测试三个过程。
A.形式仿真B.数值仿真C.功能仿真D.行为仿真参考答案:C10.符合1988VHDL标准的标识符是()。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
一、选择题:(20分)1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④ B.②①④③C.④③②①D.②④③①3.IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于固IP的正确描述为:__________DA.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路B.提供设计的最总产品——模型库C.以可执行文件的形式提交用户,完成了综合的功能块D.都不是4.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法一般是一种自底向上的设计方法C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次化设计5.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C.当前进程中声明的变量不可用于其他进程D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成6.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样7.下列状态机的状态编码,_________方式有“输出速度快、难以有效控制非法状态出现”这个特点。
AA.状态位直接输出型编码B.一位热码编码C.顺序编码D.格雷编码8.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______D A.IEEE库B.VITAL库C.STD库D.WORK工作库9.下列4个VHDL标识符中正确的是:_______dA.10#128#B.16#E#E1C.74HC124D.X_1610.下列语句中,不属于并行语句的是:_______BA.进程语句B.CASE语句C.元件例化语句D.WHEN…ELSE…语句写出下列缩写的中文(或者英文)含义:1.ASIC 专用集成电路2.FPGA 现场可编程门阵列3.IP 知识产权核(软件包)4.JTAG 联合测试行动小组HDL 硬件描述语言1.基于EDA软件的FPGA / CPLD设计流程,以下流程中哪个是正确的:_______C______A. 原理图/HDL文本输入→适配→综合→时序仿真→编程下载→功能仿真→硬件测试B. 原理图/HDL文本输入→功能仿真→综合→时序仿真→编程下载→适配→硬件测试C. 原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载→硬件测试D. 原理图/HDL文本输入→适配→时序仿真→编程下载→功能仿真→综合→硬件测试2.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_A________是错误的。
A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程,并且该过程与器件硬件结构无关B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束C. 综合可以理解为将软件描述与给定的硬件结构用电路网表文件表示的映射过程,映射结果不唯一D. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件3.FPGA的可编程是主要基于什么结构:___A_______A. 查找表(LUT)B. ROM可编程C. PAL可编程D. 与或阵列可编程4.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为:___D_______A. 胖IPB. 瘦IPC. 硬IPD. 都不是5.串行化设计是一种优化方式,下列哪一项对串行化设计描述正确:____C_____A. 面积优化方法,同时有速度优化效果B. 速度优化方法,不会有面积优化效果C. 面积优化方法,不会有速度优化效果D. 速度优化方法,可能会有面积优化效果6.在VHDL语言中,下列对时钟边沿检测描述中,错误的是:____B_____A. if clk'event and clk = '1' thenB. if clk'stable and not clk = '1' thenC. if rising_edge(clk) thenD. if not clk'stable and clk = '1' then7.状态机编码方式中,哪种编码速度较快而且输出没有毛刺?____C_____A. 一位热码编码B. 格雷码编码C. 状态位直接输出型编码D. 都不是8.不完整的IF语句,其综合结果可实现:____D_____A. 三态控制电路B. 条件相或的逻辑电路C. 双向控制电路D. 时序逻辑电路9.以下对于进程PROCESS的说法,正确的是:_____C___A. 进程之间可以通过变量进行通信B. 进程内部由一组并行语句来描述进程功能C. 进程语句本身是并行语句D. 一个进程可以同时描述多个时钟信号的同步时序逻辑10.关于VHDL中的数字,请找出以下数字中数值最小的一个:_____C_____A. 2#1111_1110#B. 8#276#C. 10#170#D. 16#E#E1二、EDA名词解释,写出下列缩写的中文(或者英文)含义:(10分)1.SOPC :可编程单片系统2.PCB :3.RTL : 寄存器传输级4.LPM 参数可设置模块库5.CPLD6.FSM 有限状态机(Finite State Machine)JTAG指的是什么?大致有什么用途?10.下列是EDA技术应用时涉及的步骤:A. 原理图/HDL文本输入;B. 适配;C. 时序仿真;D. 编程下载;E. 硬件测试;F. 综合请选择合适的项构成基于EDA软件的FPGA / CPLD设计流程:A →___F___ →___B__ →____C___ →D →___E____11.PLD的可编程主要基于A. LUT结构或者B. 乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA 基于____A_____CPLD 基于____B_____12.在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。
对于A. FPGA B. CPLD 两类器件:一位热码状态机编码方式适合于____A____ 器件;顺序编码状态机编码方式适合于____B____ 器件;13.下列优化方法中那两种是速度优化方法:____B__、__D__A. 资源共享B. 流水线C. 串行化D. 关键路径优化14.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,___D___是错误的。
A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。
D.综合是纯软件的转换过程,与器件硬件结构无关;15.嵌套的IF语句,其综合结果可实现___D___。
A. 条件相与的逻辑B. 条件相或的逻辑C. 条件相异或的逻辑D. 三态控制电路16.在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。
DA. idata <= “00001111”;B. idata <= b”0000_1111”;C. idata <= X”AB”;D. idata <= B”21”;17.在VHDL语言中,下列对时钟边沿检测描述中,错误的是__D___。
A. if clk’event and clk = ‘1’ thenB. if falling_edge(clk) thenC. if clk’event and clk = ‘0’ thenD.if clk’stable and not clk = ‘1’ then18.请指出Altera Cyclone系列中的EP1C6Q240C8这个器件是属于__C___A. ROMB. CPLDC. FPGAD.GAL二、EDA名词解释,(10分)写出下列缩写的中文(或者英文)含义:5.ASIC 专用集成电路6.FPGA 现场可编程门阵列7.CPLD 复杂可编程逻辑器件8.EDA 电子设计自动化9.IP 知识产权核10.SOC 单芯片系统简要解释JTAG,指出JTAG的用途JTAG,joint test action group,联合测试行动小组的简称,又意指其提出的一种硬件测试标准,常用于器件测试、编程下载和配置等操作。
19.下列是EDA技术应用时涉及的步骤:A. 原理图/HDL文本输入;B. 适配;C. 时序仿真;D. 编程下载;E. 硬件测试;F. 综合请选择合适的项构成基于EDA软件的FPGA / CPLD设计流程:A →_________ →_________ →_________ →_________ →E20.PLD的可编程主要基于A. LUT结构或者B. 乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA 基于___________CPLD 基于____________21.在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。
对于A. FPGA B. CPLD 两类器件:一位热码状态机编码方式适合于_________ 器件;顺序编码状态机编码方式适合于_________ 器件;22.下列优化方法中那两种是速度优化方法:______________、______A. 资源共享B. 流水线C. 串行化D. 关键路径优化单项选择题:23.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_________是错误的。