用ModelSimSE进行功能仿真和时序仿真的方法(ALTERA篇)

合集下载

Modelsim SE 进行时序仿真及altera库的添加

Modelsim SE 进行时序仿真及altera库的添加
编译时根据需要编译一组或全部编译。下面以Verilog组为例,首先编译primitive库。
4.编译库
在主菜单中选【compile】→【compile】命令,对altera_primitives.v进行编译。
VHDL文件编译有所不同,LPM库的220model.vhd和220pack.vhd可以同时编译,MegaFunction库的先编译altera_mf_components.vhd文件,后编译altera_mf.vhd文件,Primitiv库先编译altera_primitive_components.vhd文件,后编译altera_primitive.vhd文件,元件库,如cyclone II库先编译cycloneii_atoms.vhd文件,后编译cycloneii_components.vhd文件,如果是其他系列的元件库则只要把对应的cycloneii改成其他系列的名称即可,如cyclone库则文件改为cyclone_atoms.vhd、cyclone_components.vhd或cyclone_atoms.v。
网上的很多教程都是把这些文件一起编译,这样适用于Verilog和VHDL混合仿真,但如果只用一种语言,如Verilog则完全没必要全部编译。这几个文件可分为Verilog组:220model.v、altera_mf.v、altera_primitives.v、cycloneii_atoms.v和VHDL组:220model.vhd、220pack.vhd、altera_mf.vhd、altera_mf_components.vhd,altera_primitives.vhd,altera_primitives_components.vhd,cycloneii_atoms.vhd,cycloneii_components.vhd。

在Modelsim中加入altera的仿真库

在Modelsim中加入altera的仿真库
在Modelsim中加入Quartus的仿真库
虽然这是个老话题了,但总会有刚入门的朋友不知道的。我在这里还是讲讲吧!问什么要这样做?
1、Quartus不支持Testbench
2、调用了megafunction或者lpm库之类的Altera的函数
3、时序仿真要在Modelsim下做仿真,
总会遇到上面这几个问题,因为需要在Modelsim中加入Quartus的仿真库。 Altera公司推荐的具体的做法是: 1、在Modelsim中,File->Change Directory,将工作目录改变到想要存放仿真库的地方,然后点击OK。将来产生的一大堆仿真库要用的文件就存放在这里。 2、Design->Create a New Library,建立一个新的库:Altera,点击OK。 3、Compile ..quartusedasim_lib下面的两个文件,220model.v和altera_mf.v,记得编译的时候上面的library一定是Altera。这样三步以后,就完成了在Modelsim中添加Altera的库!实际中,这样做不方便。我是这样做的。把220model.v和altera_mf.v拷贝到你要编译的文件所在的文件夹里。先编译这两个文件,等于是把Altera的器件加到了work这个library里。然后再在library下编译你要用的文件就可以了。这样方便些。
4 start compilation... ARTUS中调用Modelsim,verilog下,步骤如下,并作一说明。
1 将modelsim启动路径(如:c:modelsimmodeltechwin32;)加入到环境变量path中。
2 在quartus中建立project,并产生所用的testbench

利用ModelSim SE6.0C实现时序仿真

利用ModelSim SE6.0C实现时序仿真

1) 打开一个工程文件。

2) 打开Settings设置栏,选择EDA Tools Settings下的Simulation栏。

在右边出现的设置栏中将“Toolname”的下拉菜单选择“ModelSim(Verilog)”(如果工程用VHDL语言实现,则可以选择“ModelSim(VHDL)”;如果ModelSim使用的是for Altera的专用版本,则可以选择“ModelSim-Altera(Verilog)”或“ModelSim-Altera(VHDL)”)。

另外在设置栏中还有其他的核选框。

1. 如果选中“Maintain hierarchy”,则表示在做时序仿真时就能看到像在功能仿真的工程文件层次结构,可以找到定义的内部信号。

因为在做后仿时,源文件中的信号名称已经没有了,被映射为软件综合后自己生成的信号名,观察起来很不方便。

这个设置与ISE里综合右键属性的Keep Hierarchy选择YES的功能是一样的。

2. 如果选中“Generate netlist for functional simulation only”,则表示只能做功能仿真。

3) 点击“Start Compilation”按钮编译工程,完成之后在当前的工程目录下可以看到一个名为“Simulation”的新文件夹,下面的“ModelSim”文件夹下包括仿真需要的.vo网表文件和包含延迟信息的.sdo 文件。

4) 打开ModelSim软件(或者在Quartus下“Settings->EDA Tools Setting->Simulation”出现的设置栏中选中“Run this tool automatically after compilation”,直接从Quartus下调用ModelSim软件),可以在当前工程目录下新建一个Project。

在Project标签栏内点击右键,出现在快捷菜单中选择“Add toProject->Existing File…”。

在modelsim se中编译altera仿真库

在modelsim se中编译altera仿真库

在Modelsim SE中编译Altera仿真库本文基于Modelsim 6.1 SE版本,详细讲述了如何在Modelsim SE中编译Altera的VHDL 仿真库的过程(Verilog仿真库与此类似)。

然后修改modelsim.ini文件,使得每次新建工程的时候不需要再重新编译Altera仿真库。

1.从主菜执行File→New→Library命令,如图1 新建库所示.图1 新建库2.执行完第一步后,弹出图2对话框。

选中a new library and a logical mapping to it,LibraryName填写altera_mf,Library Physical Name填写$MODEL_TECH\altera_lib\vhdl\altera_mf(注:建议编译后的VHDL库文件存放在Modelsim安装目录\altera_lib\vhdl的文件夹下,本文中的Modelsim安装目录为C 盘根目录)。

如图2 创建库所示。

3.点击OK,如下图所示。

图3. 创建库后的图示4.从主菜单执行Compile→Compile…命令,弹出一个对话框,点中Library下拉菜单,由work改为altera_mf,点中查找范围下拉菜单,指向Quartus II安装目录\quartus60\eda\sim_lib,选中altera_mf.vhd和altera_mf_components.vhd文件,然后点击Compile按钮。

图4 编译altera_mf.vhd和altera_mf_components.vhd文件5.编译结束后,点击Done按钮。

接下来建立库lpm,步骤与1~5类似。

6.从主菜执行File→New→Library命令,如图1 新建库所示.7.执行完上一步后,弹出图2对话框。

选中a new library and a logical mapping to it,LibraryName填写lpm,Library Physical Name填写$MODEL_TECH\altera_lib\vhdl\lpm(注:建议编译后的VHDL库文件存放在Modelsim安装目录\altera_lib\vhdl的文件夹下,本文中的Modelsim安装目录为C盘根目录)。

手把手教你使用Modsim做仿真

手把手教你使用Modsim做仿真

手把手教你使用Modsim做仿真使用Altera的fpga已有两年了,由于之前有做单片机编程经验,时序都是写好上板测,系统做大了之后,觉得效率比较低,于是想使用Modsim来做仿真,以直观地看出每个时钟内信号的状态。

本文目的是了解使用Modsim的步骤,作者已预先写好了源码和测试代码。

使用软件平台:ModelSim-Altera 6.5b + Quartus II 9.11,打开Modsim软件后,通过File / New / Project 建立工程,如图1-1所示:图1-1 建立工程2,此时出现图1-2界面,需要设定工程名称和保存路径,库文件使用默认路径,图1-2 设定工程名称和路径3,创建好工程和路径之后点击“OK”按钮,可出现添加文件的选项窗口,如图1-3所示:图1-3添加文件的选项窗口4,此处由于已编辑好了所有文件,因此选择“Add Existing File”选项,找到文件保存位置并添加,界面如图1-4所示:图1-4 添加文件到工程5,选择好已写好的文件,点击“打开”可添加进工程,如图1-5所示:图1-5 已添加必要文件6,此时可以通过“编译”按钮进行编译和查错,在编译通过之后可看到文件状态“Status”由“?”变为“√”,在消息窗口中有文件编译完成的提示,如图1-6所示:图1-6 编译通过7,编译完成之后可开始仿真,选中“Library / work / testfile”点击鼠标右键,选择“Simulate”,如图1-7所示:图1-7 Simulate 选项8,此时modsim软件出现图1-8所示界面:图1-8 仿真界面9,在左侧“sim”显示区域空白处点击鼠标右键,添加需要查看波形的寄存器名称,并点击“run”开始仿真,程序计算完毕,将显示出仿真的波形,如图1-9所示:图1-9 仿真结果出现波形之后,可通过“放大/缩小”选项和时间渐进条来查看波形。

仿真时间如果不合适,可自行设定,并回到图1-7所示位置,重新开始仿真。

荐)ModelSim SE仿真Altera库的一些问题 常见仿真错误 问题 合集

荐)ModelSim SE仿真Altera库的一些问题 常见仿真错误 问题 合集

荐)ModelSim SE仿真Altera库的一些问题常见仿真错误问题合集1. modelsim怎么调用altera的库仿真啊?(megafunctions)以前有个帖子说把quartus安装目录下的sim文件夹里面的文件编译进modelsim里面就可以了,可是sim文件夹里面我要的那个函数不是.v文件啊,还有他里面的一些.vhd文件怎么编译错误啊?是eda/sim_lib里,编译错误,我想是你编译的顺序不对用EDA/SIM_LIB中文件直接放到PROJECT中,你需要看看它的告错信息。

一般是缺库。

你可以按提示缺的库,在FILE/NEW/LIBRARY菜单里创建一个映射到WORK的库。

这样一般就好了。

如何在modelsim里如altera的库中做后仿真啊,急死了我用synplify综合后,用modelsim做后仿真,我在modelsim里面加入了C:quartusedasim_libmodelsimvhdl里面的两个库,但是编译的时候还是提示我找不到library apex20k。

还要加什么库啊???郁闷死了vlib apex20kvmap apex20k apex20kvcom -work apex20k c:/quartus/eda/sim_lib/apex20k_atoms.vhdvcom -work apex20k c:/quartus/eda/sim_lib/apex20k_components.vhd谢谢i8086,我现在知道怎么加入altera的库了,但是错误依然在,不知道是什么原因,modelsim里面的提示如下:vcom -reportprogress 300 -work work {D:/caiyang/rev_1/caiyang_1.vhd}# Model Technology ModelSim SE vcom 5.7e Compiler 2003.07 Jul 8 2003# -- Loading package standard# ** Error: (vcom-19) Failed to access library 'acex2k' at "acex2k".# No such file or directory. (errno = ENOENT)# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(7): Library acex2k not found.# -- Loading package std_logic_1164# -- Loading package numeric_std# -- Loading package components# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(12): Unknown identifier: acex2k# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(14): VHDL Compiler exitinglibrary ieee, acex2k;use ieee.std_logic_1164.all;use ieee.numeric_std.all;library synplify;use ponents.all;use acex2k.acex2k_components.all;~~~~~~~~~~~~~~~就是提示找不到这个东西,这是用synplify综合后的文件的前面几行代码。

利用ModelSim进行的功能,综合后,时序仿真

利用ModelSim进行的功能,综合后,时序仿真

利用ModelSim 进行的功能仿真,综合后仿真,时序仿真功能仿真,就是在理想状态下(不考虑延迟),验证电路的功能是否符合设计的要求。

功能仿真需要:I. TestBe nch或者其他形式的输入激励2•设计代码(HDL源程序)3•调用器件的模块定义(供应商提供,如FIFO, RAM等等)值得一提的是,可以在ModelSim直接编写TestBench,使用View->Source->Show language templates.综合后仿真(门级仿真),实际上就是将对综合后的门级网表进行仿真, 只考虑门延迟,而没有加入时延文件。

在功能仿真之后检验综合的结果是否满足功能要求。

综合后仿真需要:1. 综合后的门级网表,注意这里变成了*.vo 文件,而不是原来功能仿真中所需要的HDL源代码.2. 测试激励3. 元件库Altera 的仿真库位置为*:\altera\quartus\eda\sim_lib所谓时序仿真,就是在综合后仿真的基础上加上时延文件(sdf 文件),综合考虑了路径延迟和门延迟的情况,验证电路是否存在时序违规。

时序仿真需要:1. 综合后的门级网表,注意这里变成了*.vo 文件,而不是原来功能仿真中所需要的HDL源代码.2. 测试激励3. 元件库Altera 的仿真库位置为*:\altera\quartus\eda\sim_lib4 .较门级仿真还需要具有包含时延信息的反标记文件*.sdf可以有两种方法实现门级仿真,或时序仿真。

1. 工程编译成功后,自动启用ModelSim 来运行门级仿真,前提是要在Quartus II的Options中设置好ModelSim的路径(和有些参考PDF上说的环境变量好像无关,至少我用的Quartus II9.0 Web Edtion 是这样的)具体方法是,进入Quartus9.0->Tools->Options,在Categroy里选中General 下的EDA Tool Options 在ModelSim 右边的Location ofExecutable中双击来改变路径,就并且在工程中设置了自动启动ModelSim,就可以自动启用了。

ModelSim SE仿真Altera库的一些问题 常见仿真错误 问题 合集

ModelSim SE仿真Altera库的一些问题 常见仿真错误 问题 合集

ModelSim SE仿真Altera库的一些问题常见仿真错误问题合集1. modelsim怎么调用altera的库仿真啊?(megafunctions)以前有个帖子说把quartus安装目录下的sim文件夹里面的文件编译进modelsim里面就可以了,可是sim文件夹里面我要的那个函数不是.v文件啊,还有他里面的一些.vhd文件怎么编译错误啊?是eda/sim_lib里,编译错误,我想是你编译的顺序不对用EDA/SIM_LIB中文件直接放到PROJECT中,你需要看看它的告错信息。

一般是缺库。

你可以按提示缺的库,在FILE/NEW/LIBRARY菜单里创建一个映射到WORK的库。

这样一般就好了。

如何在modelsim里如altera的库中做后仿真啊,急死了我用synplify综合后,用modelsim做后仿真,我在modelsim里面加入了C:quartusedasim_libmodelsimvhdl里面的两个库,但是编译的时候还是提示我找不到library apex20k。

还要加什么库啊???郁闷死了vlib apex20kvmap apex20k apex20kvcom -work apex20k c:/quartus/eda/sim_lib/apex20k_atoms.vhdvcom -work apex20k c:/quartus/eda/sim_lib/apex20k_components.vhd谢谢i8086,我现在知道怎么加入altera的库了,但是错误依然在,不知道是什么原因,modelsim里面的提示如下:vcom -reportprogress 300 -work work {D:/caiyang/rev_1/caiyang_1.vhd}# Model Technology ModelSim SE vcom 5.7e Compiler 2003.07 Jul 8 2003# -- Loading package standard# ** Error: (vcom-19) Failed to access library 'acex2k' at "acex2k".# No such file or directory. (errno = ENOENT)# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(7): Library acex2k not found.# -- Loading package std_logic_1164# -- Loading package numeric_std# -- Loading package components# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(12): Unknown identifier: acex2k# ** Error: D:/caiyang/rev_1/caiyang_1.vhd(14): VHDL Compiler exitinglibrary ieee, acex2k;use ieee.std_logic_1164.all;use ieee.numeric_std.all;library synplify;use ponents.all;use acex2k.acex2k_components.all;~~~~~~~~~~~~~~~就是提示找不到这个东西,这是用synplify综合后的文件的前面几行代码。

modelsim仿真详细过程(功能仿真与时序仿真)

modelsim仿真详细过程(功能仿真与时序仿真)
如没有就新建。在这里使用添加已有文件,在软件开始之前就编好所用的程
序,这样比较方便些。软件自带的编辑环境不是很好,使用第三方的编辑工
具是推荐的方法。建议使用UltraEdit或Notepad++这些专业的代码编辑软
件。
UltraEdit偏重于功能的强大和丰富的用户可定制化特性,而
Notepad++更加注重易用性。两者在普通功能上差异不是特别大,根据自己的
喜好选择一款即可。
图5给工程中添加文件
在路径G:\FPGA_Project\ModelSim\counter8下新建两个文件,一个
是counter8.v,一个是test_counter8.v,前者是我们的原始的设计文件,后者
是其相应的仿真测试文件。在这个路径的Windows目录下,在空白处右键选
择新建一个文本文档.TXT格式,然后在这个文件上右键选择UltraEdit或Edit
modelsim仿真详细过程(功能仿真与时序仿真)
ModelSim仿真入门:功能仿真
本实验的目的就是在ModelSim环境下学习掌握该软件的一般仿真测
试流程和仿真测试方法,另外学习编写简单的Test Bench程序并在ModelSim
下进行调试。
实验步骤如下:
1.打开ModelSim软件,如图1所示:
withNotepad++就可以启动相应的代码编辑工具进行编辑了,保存的时候注意
存成.v或格式即可。
新建一个工程,在弹出的对话框中,给该工程命名并指定一个存放的路径,
如图4所示:
图4新建工程
在这里,工程名和你的顶层文件名保持一致是推荐的做法。路径的注
意事项已经说过,这里不再提及。默认的库名就是work,这个无需更改,点

modelsim-altera 仿真设置

modelsim-altera 仿真设置

1、设置modelsim-altera的执行路径(即其安装路径中的可执行)(1)Tool->Option(2)General -> EDA Tool Option ,在右侧的窗口中选择Modelsim-Altera , 在Location Of Execatable中单击,并找到该软件的安装路径中的可执行文件路径,单击确定。

2、项目仿真2.1预先设置仿真软件(建立测试文件前必须选好仿真软件,且项目模块必须编译成功)(1)Assignments ->Settings(2)选择“Simulation”,设置方式如下图注:第三步要放到流程2.3再做2.2建立测试文件Processing ->Start ->Start Test Bench Template Writer2.3将Testbench链接到仿真环境下(1)Assignments ->Settings(2)选择“Simulation”,点击NativeLink settings下的Compile testbench中“Test Benches”(3)在弹出的窗口中点击“New”添加Testbench文件(该文件默认存放的路径:项目(4)目录\simulation\modelsim\项目名称.vt)第2步一定要加后缀“_vlg_tst”不然会出错第4步浏览找到Testbench文件(该文件默认存放的路径:项目(4)目录\simulation\modelsim\项目名称.vt)(4)然后一路“OK”下去。

2.4编辑TestBench文件(记得点保存)需要编辑TestBench文件中的内容,在Quarters II Files中找到其路径(该文件默认存放的路径:项目目录\simulation\modelsim\项目名称.vt)打开就好,或者直接在Modulsim中编辑更方便。

3、执行仿真选择Tools ->Run EDA Simulation Tool ->EDA RTL Simulation,然后会自动运行Modelsim_altera软件并进行编译和波形仿真。

如何在modelsim中添加altera的仿真库

如何在modelsim中添加altera的仿真库

1.在quartus中运行2.选择器件及输出目录,编译后生成库。

3.打开D:\altera\modeltech64_10.1c\altera_lib\modelsim.ini查看[Library] 中的这就是所选的器件对应的生成库。

altera_ver = D:/altera/modeltech64_10.1c/altera_lib/verilog_libs/altera_ver lpm_ver = D:/altera/modeltech64_10.1c/altera_lib/verilog_libs/lpm_versgate_ver = D:/altera/modeltech64_10.1c/altera_lib/verilog_libs/sgate_veraltera_mf_ver = D:/altera/modeltech64_10.1c/altera_lib/verilog_libs/altera_mf_veraltera_lnsim_ver = D:/altera/modeltech64_10.1c/altera_lib/verilog_libs/altera_lnsim_vermaxii_ver = D:/altera/modeltech64_10.1c/altera_lib/verilog_libs/maxii_vercycloneii_ver = D:/altera/modeltech64_10.1c/altera_lib/verilog_libs/cycloneii_ver可以复制这些项存到D:\altera\modeltech64_10.1c\modelsim.ini即根目录下的modelsim.ini中的[Library] 注意修改只读属性; Altera library add by Sylaraltera_ver = $MODEL_TECH/../altera_lib/verilog_libs/altera_verlpm_ver = $MODEL_TECH/../altera_lib/verilog_libs/lpm_versgate_ver = $MODEL_TECH/../altera_lib/verilog_libs/sgate_veraltera_mf_ver = $MODEL_TECH/../altera_lib/verilog_libs/altera_mf_veraltera_lnsim_ver = $MODEL_TECH/../altera_lib/verilog_libs/altera_lnsim_vermaxii_ver = $MODEL_TECH/../altera_lib/verilog_libs/maxii_vercycloneii_ver = $MODEL_TECH/../altera_lib/verilog_libs/cycloneii_ver或者如何在modelsim中添加altera的仿真库上一篇/ 下一篇2009-07-31 14:36:17查看( 6137 ) / 评论( 1 ) / 评分( 0 / 0 )呵呵,这个问题纠结了我两天了,刚才又试了一下就通过了,其实网上的资料说的很清楚的,但是操作起来还真的容易失误,所以说不会的时候觉得怎么这么难搞,会了之后、发现怎么这么简单!首先为什么要在modelsim中加仿真库呢?我的理解是这样的,modelsim仿真功能强大,但是没有跟具体的器件相结合所以不能够进行时序仿真和后仿真。

在ModelSimSE中添加ALTERA仿真库的详细步骤

在ModelSimSE中添加ALTERA仿真库的详细步骤

ModelSim学习笔记(二)在ModelSimSE中添加ALTERA仿真库的详细步骤黄俊April 2007以前用的是LATTICE的,ispLEVER有自带了一个OEM版的ModelSim。

要仿真时,不需要添加库,用起来比较方便,自己有点懒,所以就一直凑合着用。

现在转向用ALTERA 了,ALTERA也有OEM版的ModelSim,也不用添加库。

后来听说ModelSim SE的功能更强大,速度更快,所以就决定把ModelSim SE好好摸索一下,再多学习一点关于TestBench 技巧方面的知识。

我的学习资料主要是ModelSim SE自带的教程、ALTERA提供的资料以及edacn上面ModelSim专栏由网友们上传的资料。

因为是初学,加上看到英文资料一大堆,烦都烦死,而有些中文文档可能是有些步骤没有讲清楚,我实际按照文档上面说的一步一步做下来也老是完成不了,花了不少时间。

我于是就想自己摸清楚后,把步骤截图下来,整理清楚,做成笔记。

一方面加深自己的认识,另一方面对初学者也许会有些许用处。

我近期计划陆续整理出以下几个方面的学习笔记:初学ModelSimSE时被迷糊了几天的若干概念在ModelSimSE中添加ALTERA仿真库的详细步骤用ModelSimSE进行功能仿真和时序仿真的方法(ALTERA篇)ModelSimSE中常用到的几个命令及DO文件的学习笔记近来学到的几招TestBench的技巧MSN: paulhuang_sz@E-mail: huangjun5927@Blog: /index.php/2599在ModelSimSE中添加ALTERA仿真库的详细步骤装仿真库前要先了解几个概念1、装ModelSim之前,要先装QuartusII。

安装好QuartusII后,在其安装目录下…\quartus\eda\sim_lib里面存放了所有的仿真原型文件(simulation modelfiles)。

ModelSim中Altera仿真库的添加

ModelSim中Altera仿真库的添加

ModelSim中Altera仿真库的添加最近,做一个IP核的调试,但是里面调用了Altera的syncram,这样ModelSim就不能直接进行仿真,而QuartusII又不支持Tesbbench的仿真,所以为了在ModelSim中仿真就必须将Altera的这些仿真库添加到ModelSim中。

通常,在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面:·Quartus不支持Testbench;·调用了megafunction或者lpm库之类的Altera的函数;·时序仿真要在Modelsim下做仿真。

下面以Altera器件为例,介绍如何在ModelSim中加入Altera的仿真库,Quartus II软件中自带有Altera的仿真库,只要把它拿到ModelSim中去编译一下就可以了,具体步骤如下:1.设置仿真库路径打开ModelSim安装目录(我用的是ModelSim SE 6.2版本,安装在D:\ModelSim\Installfiles目录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。

启动ModelSim SE 6.2,在主窗口执行【File】/【Change Directory】命令将路径转到altera文件夹。

或在命令行中执行cd D:/ModelSim/Installfiles/altera。

2.新建库Quartus II中提供的仿真库文件存放的路径是...\altera\80\quartus\eda\sim_lib,每个库文件提供了两种形式:.v(Verilog)格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。

用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd文件。

如何在modelsimSE中指定Altera的仿真库(中文版)

如何在modelsimSE中指定Altera的仿真库(中文版)

如何在modelsimSE中指定Altera的仿真库(中⽂版)Altera中国区代理---骏龙科技有限公司版权所有,未经授权不得对本⽂内容私⾃修改和传播!作者: 骏龙科技有限公司Senior FAE :Sun Song (西安办事处)Mentor Graphics公司的⼦公司--Model Technology 公司的ModelSim的Altera-OEM 版ModelSim AE可以使⽤户加快开发调试电路的进度。

但是由于ModelSim AE 始终跟不上ModelSim SE 版和Quartus II的发⾏速度,经常造成和Quartus II版本不匹配的问题。

再加上AE只能仿真Altera公司的FPGA,所以很多时候你需要SE版,但是SE 版在发⾏时不带任何FPGA/CPLD⼚家的仿真库,因此⽤户就必须⾃⼰⼿动编译这些库,⾯临⼀个问题怎样建⽴各FPGA 器件的仿真库。

其实只要有FPGA ⼚家的⽀持要实现起来很简单。

以下就教你⼀步步在modelsim SE中创⽴Altera的仿真库(在Quartus II⾥提取库):(1)启动ModelSim SE仿真⼯具,在主窗⼝中选择【File】?【Change Directory】命令,将⼯作⽬录改变到想要存放仿真库的⽬录下。

点击【OK】。

(2)在主窗⼝中选择【Design】/【Create a New Library】命令,弹出【Create a New Library】窗⼝将【Create】选项设置为【a new library and a logical mapping to it】键⼊库的名字(例如Altera_song) 。

点击【OK】。

这个操作过程实质上相当于在ModelSim主窗⼝的脚本区域中输⼊了vlib和vmap命令等。

(3)选中【Library】⾥的【Altera_song】, 【查找范围】指到C:\quartus\eda\sim_lib⽂件夹下,对以下8个⽂件分两次进⾏编译。

如何在ModelSim里仿真Altera的lpm_rom文件

如何在ModelSim里仿真Altera的lpm_rom文件

如何在ModelSim里仿真Altera的lpm_rom文件
By ChinaLeo on July 1st, 2005
<这里我使用的是QuartusII5.0和ModelSim SE Plus 6.0>
1.在QuartusII中生成rom的初始化文件,选择生成hex文件,因为ModelSim不支持mif
文件的转化。

这里要注意一下的是,不要擅自改动Quartus->Tools->Options->Memory Editor中的内容,默认的设置就好,不然生成的hex文件会有地址上的偏差;
2.在ModelSim安装的目录下找到modelsim.ini文件,将其只读属性去掉,在vsim部分里
添加一行“Veriuser = D:/altera/quartus50/eda/mentor/modelsim/convert_hex2ver.dll”,保存文件,将只读属性改回来。

当然,这里的路径要改成实际上您Quartus的安装路径。

其中的convert_hex2ver.dll就是把hex文件转换成ModelSim能认出来的ver文件的动态链接库文件;
3.按普通方式在ModelSim中新建项目,建立work库,在库中添加需要仿真的文件和Altera
的系统库文件altera_mf.v和220model.v,一起编译。

编译成功后即可添加波形仿真了!
convert_hex2ver.dll文件会自动调入来转化hex文件。

我已照此方法仿真成功。

ModelSim的使用(Altera官网)

ModelSim的使用(Altera官网)

About Using the ModelSim Software with theQuartus II SoftwareAuthor: Kerwin. XieModelSim-Altera Design Flow(For Altera Version)1.Set up the ModelSim-Altera working environment2.Set up a project with the ModelSim-Altera software3.Perform a functional simulation with the ModelSim-Altera software4.Perform a timing simulation with the ModelSim-Altera softwareModelSim PE/SE Design Flow(For PE/SE Version)1.Set up the ModelSim working environment2.Set up a project with the ModelSim softwarepile libraries and design files with the ModelSim software4.Perform a functional simulation with the ModelSim software5.Perform a timing simulation with the ModelSim software目录MODELSIM-ALTERA版本仿真流程 (3)1、建立M ODEL S IM-A LTERA工作环境 (3)2、用M ODEL S IM-A LTERA建立工程 (3)3、用M ODEL S IM-A LTERA执行功能仿真 (3)4、用M ODEL S IM-A LTERA执行时序仿真 (4)MODELSIM-PE/SE版本仿真流程 (6)P ERFORMING A F UNCTIONAL S IMULATION WITH THE M ODEL S IM S OFTWARE (6)P ERFORMING A T IMING S IMULATION WITH THE M ODEL S IM S OFTWARE (7)相关知识链接 (9)M ODEL S IM P RECOMPILED L IBRARIES(预编译库) (9)A LTERA F UNCTIONAL S IMULATION L IBRARIES(功能仿真库) (12)A LTERA P OST-F IT L IBRARIES(后适配库) (20)P ERFORMING P OWER A NALYSIS WITH THE Q UARTUS II S OFTWARE AND O THER EDA T OOLS (30)使用QUARTUSII自动运行MODELSIM仿真 (37)1、配置N ATIVE L INK (37)2、运行仿真 (39)3、产生T ESTBENCH (40)相关链接:ModelSim-Altera软件支持谢银坤Kerwin Xie2010年1月20日ModelSim-Altera版本仿真流程1、建立ModelSim-Altera工作环境1.1版本说明ModelSim-Altera(OEM)version 6.4aQuartusII version 9.0该ModelSim版本支持所有QuartusII支持的Altera器件。

用moselsim SE仿真ALTERA FFT core 初步成功

用moselsim SE仿真ALTERA FFT core 初步成功

标签:altera FFT IP核modelsim仿真用moselsim SE仿真ALTERA FFT core 初步成功这几天一直在折腾Modelsim SE仿真QuartusIPcore,仿真FFTcore 时发现网上几乎没有这方面的资料,也找不到哪位大侠仿真成功的消息。

我今天初步仿真成功,FFT仿真有结果,就是没做具体的验证工作,哪位感兴趣的可以继续验证。

下面是如何用Moselsim SE 仿真Altera FFTcore。

(一)准备知识:1、了解quartus,知道怎么用MegaWizard Plug-In manager生成IP core2、会用Modelsim SE仿真QuartusIPcore(二)开发环境:Quartus版本:quarus 8.0MegaCore版本:FFT Megacore Function V8.0。

这个是装quartus 8.0时自带的,不用再安装了。

Modelsim版本:Modelsim SE 6.1f(三)步骤<1> quartus生成 FFT ip core1、首先确保quartus和Modelsim SE 正确安装,这里就不再赘述了。

2、生成FFT core。

新建一个quartus工程, 比如建在E:\quartus\FFT_sim目录下。

然后选择Tools->MegaWizard Plug-In manager…,在出现的页面左侧依次展开DSP->transforms->FFT V8.0,点击Next,出现下面的页面,如图1。

图1即为生成FFTcore的配置页面。

图13 点击step 1:Parameterize,配置FFT的参数,如图2。

关于如何配置参数,看FFT userguide吧,不详述。

点击finish图24 点击step 2:Set Up Simulation。

此页面即为仿真配置页面。

选中Generate Simulation Model前面的复选框,如图3中箭头所示。

ModelSim-Altera使用方法

ModelSim-Altera使用方法

ModelSim-Altera使用方法平台软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容1 设计流程使用ModelSim仿真的基本流程为:图1.1 使用ModelSim仿真的基本流程2 开始2.1 新建工程打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面1. 选择File>New>Preject创建一个新工程。

打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。

一般情况下,设定Default Library Name 为work。

指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。

该对话框如图2. 2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D: /led_flow。

图2.3 输入工程信息当单击OK按钮后,在主体窗口的下方将出现Project标签,如图2.4所示。

图2.4 Project标签3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。

图2.5 在工程中,添加新项目2.2 在工程中,添加新项目在Add Items to the Project对话框中,包括以下选项:Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL 或文本文件Add Existing File——添加一个已存在的文件Create Simulation——创建指定源文件和仿真选项的仿真配置Create New Folder——创建一个新的组织文件夹1. 单击Create New File。

打开图2.6所示窗口。

图2.6 创建工程文件夹2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。

在modelsim SE 创建Altera的仿真库

在modelsim SE 创建Altera的仿真库

Modelsim se中创建altera的仿真库*************************************************modelsim version number-----modelsim se 5.8b ****author: 西北狼****QQ:11423644 QQ:58948391 ************************************************* 一、创建altera的仿真库a)路径选择启动modelsim se仿真工具,在主窗口中选择【file】→【changedirectory】命令,将工作目录改变到你想存放仿真库的目录,点击【ok】.b)创建仿真库在生窗口中选择【file】→【new】→【library】命令,在弹出的【createa new library】窗口中将选项【create】设置为【a new libraryand a logical mapping to it】,在【libryr name】和【libraryphysical name】中键入所要创建库名字,如altera_library, ,此时在主窗口中已多了一个altera_library(empty)项;注:这个过程实质上想当于在modelsim主窗口中的脚本区域中输入了vlib和vmap命令.c)编译库在workspace中的library中选中你健入的库名altera_library(empty),在主菜单中选【compile】→【compile】命令;在弹出窗口compile source file窗口中的【libaray】下拉菜单中选中你的库名,在【查找范围】中选择quarturs安装目录\quarturs\eda\sim_lib文件夹下,对它下面的8个文件进行编译,一要编译两次或分两次编译,方法一:先选8个文件,点击【compile】,这次有错出象,完成后再点击【compile】,编译成功,点击【done】;方法二:先编译220pack,再编译altera_mf_componenta.vhd,然后编译其它6个文件, 点击【d one】d)配制modelsim将modelsim根目录下的配制文件modelsim.ini的属性只读改为可写,这可使软件记录仿真库的路径以及映射关系,以后每次启动modelsim时,就会根据ini文件中的本身寻找仿真库,并且形成映射关系,注:如果启动时出象”仿真库名(unavailable)”可选中它,点右键选择【edit】指定路径;到些仿真库已创建,以后对altera设计仿真都不需要做库处理了;e)后仿真配制如果要做后仿真;就要把你用的系列库和quartursii生成文件一起编译即可,例如你用的是altera的max7000比利时列,就要加quartursii安装目录\quarturs\eda\sim_lib下的max_atoms;vmax_atoms.vhd;max_components.vhd加这三个文件一起编译;注:在做仿真前建project时,在项目窗口default library name项中键入quartursii生成仿真文件的默认名,如max7000系列默认名max。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

用ModelSimSE进行 功能仿真和时序仿真的方法 (ALTERA篇)黄俊April 2007用ModelSim SE进行功能仿真和时序仿真的方法 (ALTERA篇)软件准备(1) QuartusII,本文截图是QuartusII 6.1界面的。

我个人认为,如果是开发StratixII或CycloneII或MAXII,用QuartusII6.0+SP1+SP2比较稳定。

(2) ModelSim SE. ALTERA仿真库要已经装好,安装仿真库的笔记已记录于《在ModelSimSE中添加ALTERA仿真库的详细步骤》中。

我电脑上装的是ModelSim SE6.1b。

例子程序的制作先在Quartus II里生成一个例子程序,以方便介绍三种仿真的方法。

步骤如下:1、新建一个工程(Project),工程名取lpm_shift, 器件选CycloneII EP2C5Q208C, 第三方的工具暂时都不选。

2、菜单栏上ToolsÆMegaWizard Plug-In Manager, 点Next,在storage中选LPM_SHIFTREG,输出文件格式根据习惯选一种语言,在这里以Verilog的为例,在右边的output file名字中加上lpm_shift。

点Next。

3、这个例子是做一个移位寄存器,调用lpm库,和cycloneII元件库,也正好可以作为对前面建好的ALTERA库的一个验证。

点可以查到该模块的使用说明和详细介绍。

移位寄存器比较简单,就不用细看了。

如下图设置.点Next.4、加上一个异步清零端,点Next,再点Next,最后点Finish.Add/Remove Files in Project…,6、点图标,选中生成的lpm_shift,点打开再点Add都加到项目中去。

7、在这里直接把lpm_shift.v当成顶层文件,就不需要再例化它了。

8、下面写一个简单的TestBench.a)Quartus II工具栏上点图标,选Verilog HDL;b)点,设文件名为top_tb;c)如下所述写一个简单的TestBench.(例子见附件)开始仿真z ModelSim仿真有很多种流程,下面我采用个人感觉比较好一个流程进行仿真验证:基于工程(Project)的流程Step1 新建一个工程Step2 添加文件到工程中去Step3 编译设计文件Step4 启动仿真器,指定顶层设计单元Step5 查看和调试结果z对的设计有三个阶段的仿真。

一是纯粹的功能仿真;二是综合后的功能仿真;三是布局布线后的时序仿真。

z下面就分别进行介绍。

纯粹的功能仿真1、新建一个工程a)打开ModelSim SE;b)新建工程,FileÆNewÆProject…:c)输入工程名,指定工程保存路径。

为了可以分别清楚地对三种仿真进行验证,我建立了三个文件夹,func、Psyth、 PAR分别来当功能仿真,综合后功能仿真以及布局布线后时序仿真的工程保存文件夹。

在这些文件夹内,我又建立了source文件夹,专门用来存放输入文件。

将前面建立的lpm_shift.v(HDL源文件)和top_tb.v (Testbench文件)拷贝至func/source里面。

将top_tb.v拷贝至Psyth、PAR里的source 文件夹内。

在这里先点Browse…,将工程的保存路径指定到func文件夹内。

d)点Add Existing File,添加输入文件。

e)在Project的空白处,点右键也可以再添加存在的文件的方式添加输入文件。

2、添加文件到工程中去进行功能仿真需要的输入文件:z HDL文件;z TestBench文件;z仿真原型文件(在这时就添加进来)或预编译的库文件(仿真时指定);点Add Existing File添加lpm_shift.v(HDL源文件),top_tb.v (Testbench文件);再到QuartusII安装目录下..\altera\61\quartus\eda\sim_lib里面找到仿真原型文件220model.v;用Reference from current location是链接的方式指定这些输入文件,copyto project directory是把输入文件复制到当前工程目录下。

建议还是用Reference form current location。

3、编译设计文件;选中一个文件,点右键,选择CompileÆCompile All;4、启动仿真器,指定顶层设计单元;a)进SimulateÆStart Simulation…b)展开Work库,指定Testbench,点OK开始仿真;直接在仿真的时候指定就行了。

a)将Wave窗口打开,查看仿真波形:b)将需要查看的信号拖入波形窗口,可以用Ctrl或Shift键多选。

c)运行。

在下面的命令行中输入运行的时间,回车。

d)查看结果:点图标,最大化波形窗口。

e)功能仿真的特点。

点图标,在波形窗口下,按住左键不放,向右下斜拉可以选择一个放大的区域:f)放大后可以看到,完全是没有延时的。

这就是纯粹的功能仿真。

6、ModelSim的其它使用技巧不在本文讨论范围之内,在这里就不介绍了。

在这里只是列出几个常用按钮的作用。

a),,,,,,,,这几个都是非常常见的图标了,分别是新建新的源文件,打开文件对话框,保存,打印,剪切,复制,贴粘,撤消最后一步操作,在当前窗口查找文本。

在这里和下文提到的当前窗口,均可靠点击某窗口的任何位置选择。

当前窗口听标题栏会以亮的蓝色显示。

b),编译,打开文件对话框,选择HDL源文件,把该源文件编译到当前工程的工作库中。

c),全编译。

编译当前工程中的所有文件。

d),仿真。

e),停止仿真。

f),回到上一层。

g),重新仿真。

装载设计,并将仿真复位到零,重新仿真。

h),设定单步仿真步长。

i),运行当前仿真。

在该仿真时间长度内进行仿真。

j),继续仿真,直到仿真结束,或用户停止仿真。

k),运行所有仿真,直到仿真结束或用户停止仿真。

l),添加一条坐标轴。

m),删除一条坐标轴。

综合后功能仿真1、新建一个工程方法前面讲过了,这次将路径保存到…/modelsim/Psyth里。

2、添加文件到工程中去进行综合后功能仿真需要的输入文件:z在QuartusII里面生成的网表文件;z TestBench文件;z仿真原型文件(在这时就添加进来)或预编译的库文件(仿真时指定)。

在QuartusII里面生成网表文件的方法:a)点工具栏上的图标,选EDA Tool Setting, 双击Simulation。

b)设置ModelSim, 输出网表的格式,以及网表文件保存的路径。

c)点,将Generate netlist for functional simulation only设置为ON。

d)点OK,保存。

点工具栏上的图标,进行全编译。

e)检查一下…/modelsim/Psyth/source里面,可以发现,已经生成了一个*.vo文件。

这个就是需要的网表文件。

(VHDL的输出网表是*.vho后缀名的)f)在这里,TestBench文件就继续用前面功能仿真里用的top_tb.v文件;g)我们试一下直接指定预编译的库。

3、编译设计文件(略)4、启动仿真器,指定顶层设计单元方法就不重复了。

指定时参考以下截图。

这次选库的时候选元件库。

5、查看和调试结果可以看到,load在装载时,输出q延时一个时钟周期才输出,但它和输入时钟的边沿还是完全同步的。

布局布线后时序仿真步骤和综合后功能仿真大同小异,有以下几点要注意:(1)进行布线后时序仿真需要的输入文件:z布局布线后网表;z延时文件*.sdo(Verilog)或*_vhd.sdo(VHDL);z TestBench文件;z仿真原型文件(在这时就添加进来)或预编译的库文件(仿真时指定);QuartusII里面设置重新把Generate netlist for functional simulation only设置成OFF。

全编译后,除了生成布局布线后网表文件以外,还会生成延时文件。

(2) 依然要指定库文件的位置;(3) 指定延时文件时,在下图位置指定延时文件。

(4) 需要注意两点:a)对Verilog设计的仿真,延时文件需要复制到ModelSim里面建的Project的根目录下。

这一点,我也搞不明白,试了很久才发现的,可能是我没有设置好的问题,也可能是这个版本本身的Bug。

对VHDL设计,就不需要复制到ModelSim里面建的Project的根目录下。

b)指定Apply to Region里面,还要写TestBench里面例化顶层文件的例化名。

(5) 查看仿真波形,q的输出就显示了延时。

(完)。

相关文档
最新文档