数字系统设计技术实验指导书10年

合集下载

SOPC实验指导书

SOPC实验指导书

SOPC实验指导书电信学院实验中心目录第一章EL-SOPC4000实验系统的资源介绍 (1)一、系统功能概述 (1)二、系统硬件资源 (2)三、特别说明 (11)第二章数字可编程设计实验 (12)实验一组合逻辑3-8译码器的设计 (12)第三章基于NIOS的软核设计实验 (23)实验一 Nios软核的设计 (23)实验二外设模块的设计 (27)实验三 SOPC应用系统的生成 (40)实验四 Nios II软核验证以及Nios II IDE软件的介绍 (46)实验五 SOPC系统的PIO验证 (52)实验六基于NIOS的交通灯实验 (55)实验七 7段数码管显示实验 (59)实验八按键及拨码开关实验 (61)实验九 16×16 LED点阵实验 (62)实验十 UART与PC机通信实验 (64)第一章EL-SOPC4000实验系统的资源介绍一、系统功能概述EL-SOPC4000实验箱是集EDA 和SOPC 开发为一体的综合性实验箱,它不仅可以独立完成各种EDA 设计,也可以完成多种SOPC开发。

主CPU适配器E-PLAY-SOPC配合EL-SOPC4000底板,可完成各种基本的EDA实验。

在实验板上有丰富的外围扩展资源,有常用的按键,拨码开关,LED灯,蜂鸣器,交通灯,16x16点阵,数码管,4x4矩阵键盘,AD/DA,CAN功能单元,RS232,RS485,可调时钟输出。

实验板上还集成了一个8寸的VGA接口的液晶屏,可完成视频图像的显示。

由于CPU 适配器E-PLAY-SOPC本身具有E_PLAY接口,只需提供电源即可独立完成功能测试,也可控制用户开发的E_PLAY接口模块。

由于EL-SOPC4000底板加入了两路E_LAB外扩接口,可以配合公司现有的多种E_LAB模块,来完成大学生毕业设计、电子设计竞赛、及创新设计,同时该系统也是从事教学及科研的广大教师和工程师们的理想开发工具,具有极高的灵活性,开放性和可开发性。

数字电路课程设计指导书_2010级

数字电路课程设计指导书_2010级

数字逻辑电路课程设计2012.2.13~2012.2.17南京师范大学计算机学院2011.12《数字逻辑电路》课程设计指导书一、课程设计目的课程设计作为数字逻辑电路课程体系的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。

按照本学科教学培养计划要求,在学完专业基础课电路与电子技术和数字逻辑电路课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计中小型数字系统的方法,独立完成调试过程,增强学生理论联系实际的能力,提高学生电路分析和设计能力。

通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。

二、课程设计内容与要求1.教学基本要求要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装配及调试工作;在课程设计中要注重培养工程质量意识,并写出课程设计报告。

教师应事先准备好课程设计任务书、指导学生查阅有关资料,安排适当的时间进行答疑,帮助学生解决课程设计过程中的问题。

2.能力培养要求2.1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。

2.2.通过实际电路方案的分析比较、设计计算、元件选取、组装调试等环节,掌握简单实用电路的分析方法和工程设计方法。

2.3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。

2.4. 综合应用课程中学到的理论知识去独立完成一个设计任务(可自拟创新课题)。

2.5 培养严肃认真的工作作风和严谨的科学态度。

三、课程设计报告的基本格式课程设计报告要给出结构框图,对总体设计思想进行阐述,井给出每个单元逻辑电路且论述其工作原理,文字说明部分要求内容完整,言简意赅,书写工整。

电路图规范、逻辑关系正确,表达完整清楚。

其基本内容与要求如下:1.总体设计思想。

根据功能要求确定整个电路的组成以及各单元电路完成的功能。

《计算机操作系统》实验指导书

《计算机操作系统》实验指导书

《计算机操作系统》实验指导书(适合于计算机科学与技术专业)湖南工业大学计算机与通信学院二O一四年十月前言计算机操作系统是计算机科学与技术专业的主要专业基础课程,其实践性、应用性很强。

实践教学环节是必不可少的一个重要环节。

计算机操作系统的实验目的是加深对理论教学内容的理解和掌握,使学生较系统地掌握操作系统的基本原理,加深对操作系统基本方法的理解,加深对课堂知识的理解,为学生综合运用所学知识,在Linux环境下调用一些常用的函数编写功能较简单的程序来实现操作系统的基本方法、并在实践应用方面打下一定基础。

要求学生在实验指导教师的帮助下自行完成各个操作环节,并能实现且达到举一反三的目的,完成一个实验解决一类问题。

要求学生能够全面、深入理解和熟练掌握所学内容,并能够用其分析、设计和解答类似问题;对此能够较好地理解和掌握,并且能够进行简单分析和判断;能够熟练使用Linux用户界面;掌握操作系统中进程的概念和控制方法;了解进程的并发,进程之间的通信方式,了解虚拟存储管理的基本思想。

同时培养学生进行分析问题、解决问题的能力;培养学生完成实验分析、实验方法、实验操作与测试、实验过程的观察、理解和归纳能力。

为了收到良好的实验效果,编写了这本实验指导书。

在指导书中,每一个实验均按照该课程实验大纲的要求编写,力求紧扣理论知识点、突出设计方法、明确设计思路,通过多种形式完成实验任务,最终引导学生有目的、有方向地完成实验任务,得出实验结果。

任课教师在实验前对实验任务进行一定的分析和讲解,要求学生按照每一个实验的具体要求提前完成准备工作,如:查找资料、设计程序、完成程序、写出预习报告等,做到有准备地上机。

进行实验时,指导教师应检查学生的预习情况,并对调试过程给予积极指导。

实验完毕后,学生应根据实验数据及结果,完成实验报告,由学习委员统一收齐后交指导教师审阅评定。

实验成绩考核:实验成绩占计算机操作系统课程总评成绩的20%。

指导教师每次实验对学生进行出勤考核,对实验效果作记录,并及时批改实验报告,综合评定每一次的实验成绩,在学期终了以平均成绩作为该生的实验成绩。

电子科技大学EDA指导书附完整答案讲解

电子科技大学EDA指导书附完整答案讲解

数字系统EDA技术实验指导书学院:学号:姓名:实验一八位全加器的设计一、预习内容1.结合教材中的介绍熟悉QuartusⅡ软件的使用及设计流程;2.八位全加器设计原理。

二、实验目的1.掌握图形设计方法;2.熟悉QuartusⅡ软件的使用及设计流程;3.掌握全加器原理,能进行多位加法器的设计。

三、实验器材PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干四、实验要求1、用VHDL设计一个四位并行全加器;2、用图形方式构成一个八位全加器的顶层文件;3、完成八位全加器的时序仿真。

五、实验原理与内容1、原理:加法器是数字系统中的基本逻辑器件。

例如:为了节省资源,减法器和硬件乘法器都可由加法器来构成。

但宽位加法器的设计是很耗费资源的,因此在实际的设计和相关系统的开发中需要注意资源的利用率和进位速度等两方面的问题。

多位加法器的构成有两种方式:并行进位和串行进位方式。

并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。

通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。

实验表明,4 位二进制并行加法器和串行级联加法器占用几乎相同的资源。

这样,多位数加法器由4 位二进制并行加法器级联构成是较好的折中选择。

因此本实验中的8 位加法器采用两个4位二进制并行加法器级联而成。

2、实现框图:1)四位加法器四位加法器可以采用四个一位全加器级连成串行进位加法器,实现框图如下图所示,其中CSA为一位全加器。

显然,对于这种方式,因高位运算必须要等低位进位来到后才能进行,因此它的延迟非常可观,高速运算肯定无法胜任。

通过对串行进位加法器研究可得:运算的延迟是由于进位的延迟。

因此,减小进位的延迟对提高运算速度非常有效。

下图是减少了进位延迟的一种实现方法。

可见,将迭代关系去掉,则各位彼此独立,进位传播不复存在。

电子系统设计实验指导书(FPGA基础篇Vivado版)

电子系统设计实验指导书(FPGA基础篇Vivado版)
电子系统设计
实验指导书(FPGA 基础篇 Vivado 版)
东南大学 电子科学 ........................................................................................................................................................... 1
安全使用规范
东南大学 电子科学与工程学院
无论何时,外部电源供电与 USB 两种供电方式只能用其中一种,避免因为电压有所差别而烧坏电路板。 采用电压高于5.5V的任何电源连接器可能造成永久性的损害。 插拔接插件前请关闭电路板总开关,否则易损坏器件。 电路板应在绝缘平台上使用,否则可能引起电路板损坏。 不同编码机制不要混接。 安装设备需防止静电。 液晶显示器件或模块结雾时,不要通电工作,防止电极化学反应,产生断线。 遇到正负极连接时需谨慎,避免接反引起开发板的损坏。 保持电路板的表面清洁。 小心轻放,避免不必要的硬件损伤。
实验目的 ....................................................................................................................................................... 17 实验内容 ....................................................................................................................................................... 17 实验要求 ....................................................................................................................................................... 17 实验步骤 ....................................................................................................................................................... 17 实验结果 ....................................................................................................................................................... 22

数字抢答器 实验报告

数字抢答器 实验报告

西安邮电学院数字电路课程设计报告书——数字抢答器院系名称:计算机学院学生姓名:专业名称:计算机科学与技术班级:实习时间:2010年12月6日至2010年12月17日一实验目的:熟悉数字电路中的组合逻辑电路,时序逻辑电路设计。

巩固数字电路知识。

二实验要求:设计一个数字式抢答器,具体要求如下:1.要求至少控制四人抢答,允许抢答时间为10秒,输入抢答信号实在“抢答开始”命令后的规定时间内,显示抢先抢答者的组号;亮绿灯。

2.在“抢答开始”命令前抢答者,显示违规抢答者的组号;亮红灯。

3.在“抢答开始”命令发出后,超过规定的时间无人抢答,显示无用字符(可自行确定)。

三使用元件:1、面包板一块,剥线钳一只,导线若干;2、直流稳压电源一台,万用表一个;3、发光二极管四只,阴极数码管两只;4、电容4.7uF一只,0.1uF一只;5、电容150千欧一只,4.7千欧一只,100欧一只;6、集成电路74LS00,74LS04,74LS48(三个),74LS75,74LS161(两个),74LS148,NE555。

四总体方案设计:本课题所介绍的数字式抢答器,允许抢答者在规定的时间内抢答。

它可以用数码管显示抢答者所在的小组序号,对犯规抢答者,除用灯亮表示警告外,还应显示出犯规者的序号;若抢答时间(50秒)已过,则任何输入信号均无效,且要显示一个无用字符。

综上所述,数字式抢答器应具有以下结构(如图1所示):图1:整体设计思路图五单元电路的设计:(1)输入控制电路:此电路要求能够区分抢答者是否违规:若输入的抢答信号是在“抢答开始”命令下达之前发出,则该抢答者犯规,输入控制电路应发出“抢答无效”信号,并配合抢先信号锁定电路使抢答者所在组对应的指示灯亮;若抢答信号是在“抢答开始”命令下达之后发出,则抢答有效。

经过分析,我认为主持人控制可以用控制电源开关来实现,判断抢答信号是否有效可以用抢先信号锁定电路配合基本的与非门和发光二极管来实现。

操作系统实验指导书

操作系统实验指导书

操作系统实验指导书一、实验说明1、实验目的实验是操作系统原理课程中不可缺少的重要教学环节,实验目的是使学生理论联系实际,使学生在实践探索中去发现问题、去解决问题,提高了学生获取知识和应用技术的能力,培养了学生分析和解决问题的能力。

《操作系统原理》要求理论与实践相结合,本门实验课程是对《操作系统原理》课堂教学的一个重要补充,与理论学习起着相辅相成的作用,是实施《操作系统原理》教学的一个重要组成部分。

通过本实验课的实践学习,可以增强本专业的学生对系统实现的认识。

对加深理解和掌握操作系统相关原理有重要帮助。

2、实验要求进一步了解和掌握操作系统原理,提高系统设计的能力。

对每一实验题目,应独立完成,并要求:·上机前,学生必须做好充分的实验准备工作,掌握与实验相关的背景知识,用任一种高级语言编写程序。

·上机时,认真调试,并观察、记录程序运行过程中出现的现象和问题。

·上机后,分析实验结果并写出实验报告。

3、实验报告要求每个实验(包括选做的)均应编写实验报告,学生实验后要写出严谨的、实事求是的、文字通顺的、字迹公整的实验报告。

实验报告应包括以下内容:(1)实验题目(2)实验目的(3)实验内容●程序中使用的数据结构及符号说明●流程图●源程序清单并附上注释(4)实验结果及分析●运行结果(必须是上面程序清单所对应输出的结果)●对运行情况所作的分析以及本次调试程序所取得的经验。

如果程序未能通过,应分析其原因。

二、实验内容实验一熟悉使用计算机系统一、实验名称:熟悉使用计算机系统二、实验目的与要求通过对Windows操作系统的使用,熟悉Windows操作系统中的基本概念,如单用户、多任务、进程和文件等,熟悉Windows中命令行方式下常用命令的使用方法;进一步熟悉TC语言与开发环境,为以后的实验打好基础。

三、实验内容1.开机后,熟悉Windows的界面(桌面、任务栏、开始按钮<点击后出现“开始”菜单>、我的电脑图标、回收站、我的文档)。

SOPC实验指导书

SOPC实验指导书

篇一:sopc实验指导书sopc实验3.1使用niosⅱ ide建立用户程序1.创建一个新的c/c++应用工程执行下面的步骤来创建一个新的c/c++应用工程:1. 启动niosⅱ ide。

选择【开始】??【程序】??【altera】??【quartusⅱ 5.0】??【nios ⅱ development kits 5.0】??【niosⅱ ide】启动niosⅱ ide。

也可以通过图1.1直接点击ⅱ ide。

按钮来启动nios图1 启动niosⅱ ide2.如果出现workspace launcher对话框,单击设置工作空间为quartusⅱ工程的文件夹,如图2所示,这样便于管理。

如果是第一次进入工作区,niosⅱ ide会先弹出一个欢迎界面,此时点击右上角的workbench图标,就可以进入niosⅱ ide编辑界面。

图2设置ide工作空间3.如图3所示,选择【file】??【new】??【c/c++ application】来打开新建c/c++工程向导,如图4所示。

图3打开新建c/c++工程向导1图4新建c/c++工程向导4.单击select target hardware右侧的按钮打开select target hardware窗口,选择led_nios2_system.ptf文件,即指向当前硬件设计系统,如图5所示。

图5选择硬件目标文件5.选择select project template列表中的hello_led。

name栏中自动更新为hello_led_0,确认选中use default location栏,如图6所示,单击完成工程创建。

2图6完成设置后的工程向导向导中的select project templates一栏中是已经设计好的软件工程,用户可以选择其中的一个,把它当作模板来创建自己的工程。

当然也可以选择blank project(空白工程),完全由用户写所有的代码。

本实验选取了hello_led工程,然后在此基础上进行适当的修改,一般情况下这比空白工程更加容易,也更方便。

西安邮电学院 数电课程设计34

西安邮电学院 数电课程设计34

西安邮电学院数字电路课程设计报告书——多路彩灯学院名称:自动化学院学生姓名:马枭(06081170)专业名称:自动化班级:自动0805实习时间:2010年6月21日—2010年7月2日一、课程设计题目多路彩灯控制器二、设计任务与要求设计一个8路移存型彩灯控制器。

能演示三种花型,花型自拟,能体现移存规律。

三、总体方案的选择1、方案选择:实现多路彩灯控制器功能的方法有很多,但在本次课程设计过程中,我们则结合刚刚学过的数字电路与逻辑分析课程的内容来实现此系统。

由于彩灯路数相对较少,而且花型要求比较自由,因此我们主要采用移位寄存器去实现。

彩灯控制器可以自动控制多路彩灯按不同节拍循环显示各种花型。

亮和灭则分别由高低电平来控制,彩灯则用发光二极管来实现。

以我们要求的节拍按一定规律改变二极管的输入电平值,从而控制二极管的亮和灭,即可循环显示各种花型。

首先我们利用555震荡电路去实现一个有一定时间间隔的连续脉冲,然后再利用D触发器实现二分频并通过一个数选器去控制各个周期的节拍从而按预定规律来显示一定的花型。

其系统框图如下:2、器件选择:四、单元电路的设计1、震荡器由555震荡器给部分器件提供具有一定时间间隔的连续脉冲。

2、分频电路将D触发器的Q非送给它的D端,从而让它变成二分频,再经过一个151数选器选择适当的时间将两个不同时间间隔的连续脉冲送个其他模块的电路,让彩灯产生不同的节拍,从而产生节拍快慢不同的花型。

3、花型控制器由两片74LS161级联通过反馈置入法实现模48,使48个输出的电平送给移存器的输入端去控制电路。

其中每8个实现一种花型,总共3种花型各2种节拍。

(1)74LS161的级联:74LS161功能表:CR LD CP CTP CTT 功能0 X X X X 异步清零1 0 上升X X 同步置入1 1 上升 1 1 计数1 1 X 1 0 保持1 1 X 0 1 保持因此,将第一片74LS 161的CO 端接给第二片74LS161的CTT端,即可实现两片161的级联。

数字电子技术实验指导书

数字电子技术实验指导书

数字电子技术实验指导书数字电子技术实验教学实验一门电路实验一、实验目的:1、掌握与非门的逻辑功能。

2.熟悉集成块销的排列特点和使用方法。

2、实验仪器和设备:1、thd-1型数字电路实验箱2.数字万用表1块3,集成四个2输入与非门74001块4,集成两个4输入与非门74201块3,实验原理集成与非门是数字电路中广泛使用的一种基本逻辑门,使用时必须对它的逻辑功能、主要参数进行测试,以确定其性能好坏。

本实验采用ttl集成元件74ls00、74ls20与非门进行测试。

74ls00是一个2输入4与非门。

它的形状是双线的,逻辑表达式是f?ab.销布置图如图1.1所示。

74ls00的真值表如表1.1所示。

输a0011入输b0101f1110出图1.174ls00引脚排列表1.174ls00真值表b?c?d。

74ls20是一个双4输入端与非门,形状为双列直插式,逻辑表达式为f?a其引脚排列图如图1.2所示。

图1.27420销布置四、实验步骤实验前准备:当没有连接设备时,先关闭电源开关,检查5V电源是否正常,然后断开电源。

然后选择集成芯片进行实验,找出集成芯片的引线和功能,然后根据实验图连接接线。

特别注意VCC和接地的错误连接。

1、验证74ls00的逻辑功能选择一个与非门74ls00集成芯片,按图连接线路,输入端连接电平开关的输出插座,输出端连接LED显示插座。

转动液位开关,根据表中的情况测量输出液位,并将测量值填入表1.2。

表1.274ls00逻辑功能表输入端子12001130101电压(V)输出端子11逻辑状态2。

验证74ls20的逻辑功能选双4输入正与非门74ls20集成芯片一只,按图接好线。

输入端接电平开关输出插口,输出端接发光二极管显示插口。

拨动电平开关,按表中情况分别测出输出端电平,测得数值填入表1.3中。

表1.374ls20逻辑功能表输入端110000211000411100511110输出端6电压(v)逻辑状态3、根据真值表1.5,自己设计电路,用一片74ls00完成设计要求。

TD-DS-MAXII实验指导书(EPM240)

TD-DS-MAXII实验指导书(EPM240)

数字系统设计实验教程西安唐都科教仪器公司Copyright Reserved 2005- 数字系统设计实验教程西安唐都科教仪器公司版权声明本实验教程的版权归西安唐都科教仪器开发有限责任公司所有,保留一切权利。

未经本公司书面许可,任何单位和个人不得擅自摘抄、复制本实验教程的部分或全部内容,并以任何形式传播。

西安唐都科教仪器开发有限责任公司,2005(C),All right reserved.数字系统设计实验教程©版权所有非经许可严禁复制技术支持邮箱:service@唐都公司网址:- 数字系统设计实验教程西安唐都科教仪器公司目录第一章 TD-DS-MAXII系统简介 (1)1.1 TD-DS-MAXII系统构成 (1)1.2TD-DS-MAXII系统使用方法 (1)第二章 Quartus II开发软件简介 (3)2.1Quartus II软件的安装 (4)2.2 ByteBlasterII下载电缆驱动程序的安装 (10)2.2.1 Windows2000操作系统中安装驱动的步骤 (10)2.2.2 WindowsXP操作系统中安装驱动的步骤 (13)2.2.3 Quartus II软件中设置下载电缆 (16)第三章 数字系统设计实验 (18)3.1 基本门电路实验 (18)3.2 编码器实验 (26)3.3 译码器实验 (29)3.4 加法器实验 (31)3.5 乘法器实验 (35)3.6 寄存器实验 (38)3.7 计数器实验 (42)3.8 分频器实验 (45)3.9 流水灯实验 (52)3.10 扫描数码显示器实验 (56)3.11 数字钟实验 (63)第一章 TD-DS-MAXII系统简介随着大规模可编程器件的快速发展,基于EDA技术的CPLD/FPGA可编程器件的设计正在成为电子系统设计的主流。

纵观近年来各高校毕业设计及大学生电子设计竞赛,不难发现越来越多的题目需要采用EDA技术,借助可编程器件CPLD/FPGA来实现电子系统的设计。

数字系统实验指导书1

数字系统实验指导书1

数字系统实验指导书实验一开发环境使用训练实验二基本语法使用训练实验三组合、时序逻辑电路设计实验四有限状态机设计实验一开发环境使用训练一、实验目的1.掌握QuartusII使用方法;2.掌握原理图输入设计方法。

二、实验仪器1.微型计算机一台2.QuartusII 软件三、实验原理1.运行QuartusII软件,原理图输入,进行设计。

图1 QuartusII软件界面2. 3-8译码器原理图图1 3-8译码器原理图3. 新建VHDL文件参考程序:library ieee;use ieee.std_logic_1164.all;entity decoder isPort ( aa: in std_logic_vector(2 downto 0);qq: out std_logic_vector(7 downto 0));end decoder;architecture one of decoder isbeginprocess(aa)begincase aa iswhen “000” => qq<=”00000001”;when “001” => qq<=”00000010”;when “010” => qq<=”00000100”;when “011” => qq<=”00001000”;when “100” => qq<=”00010000”;when “101” => qq<=”00100000”;when “110” => qq<=”01000000”;when “111” => qq<=”10000000”;end case;end process;end one;四、实验内容及步骤步骤1:打开QuartusII软件;步骤2:创建工程;步骤3:打开原理图编辑器;(步骤3:新建VHDL文件)步骤4:原理图编辑;(步骤4:编辑VHDL文件)步骤5:引脚命名;(无)步骤6:保存原理图,并将设计文件加入工程;(步骤5:保存VHDL文件,将设计文件加入工程。

硬件描述语言与数字系统设计实验指导书_lab1

硬件描述语言与数字系统设计实验指导书_lab1

《信号与信息处理综合实验(FPGA部分)》实验指导书实验一FPGA使用入门一、实验目的(1)掌握ISE 13.2集成开发环境和Modelsim软件的使用方法;(2)熟悉S6 Card实验板的使用方法。

(3)掌握使用Verilog HDL语言实现常用组合逻辑和时序逻辑的方法。

(4)了解Chipscope的功能与使用方法二、实验内容(1)熟悉S6 CARD实验板;(2)熟悉ISE集成开发环境;(3)3比特加法器仿真与上板实验(4)m序列产生器仿真与在板Chipscope调试三、实验要求按下面的说明逐步操作,实验结束后需经教师或助教验收,并将工作目录压缩后重新命名,命名规则为“组号_lab1.zip(rar)”,并分别撰写实验报告,上传到ftp上的”FPGA学生作业/实验一”文件夹。

四、实验过程说明(一)熟悉S6 CARD实验板1 S6 CARD开发板整体架构板卡体积小巧,面积与身份证大小相同,故命名为S6 CARD板卡,其实物图如图1所示。

图1 S6 CARD板卡实物图S6 CARD开发板以Spartan-6系列的XC6SLX9-TQ144芯片为核心,供电、下载与调试都通过板卡自身的USB接口完成,扩展了LED、GPIO、UART以及USB-JTAG电路,结构如图2所示。

此外,S6 CARD通过USB线完成板卡供电和调试,便于使用。

图2 S6 CARD板卡结构图其中,Flash芯片使用了32Mb的SPI Flash M25P32,容量大,也适合于嵌入式系统开发。

系统时钟由外部晶振给入,频率为50MHz。

2 S6 CARD开发板驱动电路1、LED驱动电路LED是最基本的电路组件,给高电平就发光,且发光的程序和驱动电流有关。

板卡的LED 电路如图3所示。

图3 S6 CARD LED电路2、按键和拨码开关电路LED、按键以及拨码开关本质上属于同一类设备,LED为输出设备,而按键和拨码开关属于输入设备。

按键为瞬时输入设备,仅在按下时维持一个固定输入,松开则返回到固定的逻辑相反状态。

数字电路实验指导书

数字电路实验指导书

数字电路实验指导书江汉大学计科系计算机硬件教研室目录一实验的一般程序二实验台介绍三实验一逻辑门功能验证及应用电路实验四实验二组合电路功能验证及应用电路实验五实验三触发器功能验证及应用电路实验六实验四时序电路功能验证及应用电路实验七实验五串行加法器的设计八实验六汽车尾灯控制器的设计九实验七数字马表的设计十实验八数字密码锁电路的设计一.实验的一般程序数字电路是计算机专业的基础课之一,它的实践性较强。

通过实验,旨在巩固,加深和开拓课堂教学的内容,使学生加深理解数字系统(计算机系统是最常见的数字系统之一)基本组件的逻辑组成及其工作原理,掌握各基本组件的设计和调试方法,提高实践能力,逐步培养学生独立分析和解决问题的能力。

实验的一般程序可分为准备阶段,布线阶段,调试阶段以及实验完毕后书写实验报告等。

1准备阶段实验前做好充分的准备是必须的和有益的,每个实验者在实验前必须对实验目的,要求,内容,及其相关理论知识认真了解,做到心中有数,完成预习报告,预习报告是实验操作的依据。

预习报告没有固定的书写格式,只要实验者看懂就可以了。

一般要尽可能写得简洁,思路清楚,重点突出,一目了然。

其内容主要是画出实验所用的逻辑电路图和布线图,并附以简要的文字说明或注释,记录数据所用的表格,以及主要的注意事项。

2.布线阶段在布线前,必须校准集成电路组件两排引脚的距离,使之与实验台的插孔距相吻合,将集成电路组件插入时,用力要轻,均匀,开始不要插得太紧,待确定集成电路组件的引脚和插孔位置一致后,再用力将其插牢。

这样可避免集成电路组件引脚弯曲或折断。

布线最好有顺序地进行,不要随意接线,以免漏接。

布线时应首先将电源地线以及实验过程中始终不改变电平的输入端接好,然后接信号流向顺序依次布线。

布线时可考虑用不同颜色导线以区别不同信号,这样便于观察与察错。

布线用的导线不宜太长,且应尽量避免导线相互重叠,跨越集成电路组件的上空以及无规则的交错连接在空中搭成网状等现象。

数字系统原理与设计课程设计指导书

数字系统原理与设计课程设计指导书

数字系统原理与设计课程设计指导书南通大学电子信息学院2017年 2月一、课程设计要求1.完成课程设计,包括设计仿真与验证。

学生根据所选课题的任务、要求和条件进行总体的方案设计,通过论证与选择,确定总体方案。

此后运用EDA软件对方案进行程序设计、仿真分析。

2.通过本次课程设计,提高系统设计能力,增强工程实践能力和创新能力。

3.撰写总结报告。

总结报告是学生对课程设计全过程的系统总结,学生应按规定格式撰写说明书,说明书主要内容有:1)设计技术报告封面封面上应写明设计题目、学生姓名、专业、年级、指导教师姓名。

设计题目明确、简短,能反映设计的实质性内容。

2)摘要及关键词应扼要叙述设计的主要内容和特点,文字简练。

3)目录目录一般不超过3级,章节应编写所在的页码。

4)正文正文应全面、准确的反映设计的指导思想、设计进行的主要工作和所取得的结论和成果,正文应包含一下内容:(1)前言。

应说明设计的目的、意义、市场需求;阐述本设计要解决的技术难题以及解决技术难点的指导思想和要预期达到的技术效果。

(2)设计方案论证。

应说明设计原理并进行方案的选择,说明为什么要选择该设计方案(包括各种方案的分析、比较),还应阐述所采用的方案特点和设计的技术路线。

(3)计算部分。

这部分在设计说明书中占有相当大的比例。

在说明书中要列出各零件的工作条件、给定的参数、计算公式以及各主要参数计算的详细步骤和计算结果,并说明根据此计算应选用什么元器件和零部件。

对需要使用的计算机的设计还应包括各种软件的设计。

(4)结构设计部分。

这也是设计说明书的重要组成部分,应包括机械结构的设计、各种电气控制线路设计以及功能电路设计、计算机控制部件装置的设计等,以及以上各种设计所绘制的图纸。

(5)结论。

概括本设计的情况和价值,分析其特色、优点、有何创新、性能达到何种水平,并应指出其中存在的问题和今后改进的方向,特别是对设计中遇到的重要问题要重点指出并加以研究。

(6)参考文献。

pLC实验指导书

pLC实验指导书

pLC实验指导书PLC实验指导书1:实验目的1.1:理解PLC的基本原理和工作方式。

1.2:学习PLC编程语言和常用指令。

1.3:掌握PLC的硬件配置和搭建方法。

1.4:进行简单的PLC控制系统设计和调试。

2:实验器材和软件2.1: PLC设备:(具体型号和数量)2.2:输入设备:(具体型号和数量)2.3:输出设备:(具体型号和数量)2.4:串口线、电源线等辅助材料:(具体型号和数量)2.5: PLC编程软件:(具体软件名称和版本)3:实验内容3.1:实验1:PLC基本原理和编程语言3.1.1:实验目的:通过编写简单的PLC程序,熟悉PLC编程语言的基本语法和常用指令。

3.1.2:实验步骤:a) 搭建PLC硬件系统,连接输入和输出设备。

b) 打开PLC编程软件,创建一个新的PLC项目。

c) 编写一个简单的PLC程序,包括输入设备的检测和输出设备的控制。

d) 程序到PLC设备,观察输出设备的动作。

3.2:实验2:PLC控制系统设计与调试3.2.1:实验目的:通过设计一个简单的PLC控制系统,掌握PLC的硬件配置和调试方法。

3.2.2:实验步骤:a) 分析控制系统的需求,确定输入和输出设备的类型和数量。

b) 搭建PLC硬件系统,连接输入和输出设备。

c) 打开PLC编程软件,创建一个新的PLC项目。

d) 编写一个复杂的PLC程序,实现控制系统的功能。

e) 程序到PLC设备,进行调试和优化。

4:实验报告要求4.1:实验目的和原理的述评,包括PLC编程语言和指令的解释。

4.2:实验步骤的详细描述,包括PLC硬件系统的搭建和程序的编写方法。

4.3:实验结果的展示和分析,包括输入设备的检测结果和输出设备的控制效果。

4.4:实验心得和建议,包括对PLC技术的认识和对本实验的改进建议。

本文档涉及附件:1: PLC设备和相关设备的规格表2: PLC编程软件的安装指南本文所涉及的法律名词及注释:1: PLC:可编程逻辑控制器,是一种专门用于工业自动化控制系统的计算机控制器。

电子技术实践教学指导书(3篇)

电子技术实践教学指导书(3篇)

第1篇一、前言电子技术是现代科技发展的基础,它涉及电路设计、电子元件、电子设备等多个方面。

为了使学生更好地掌握电子技术的基本理论、实践技能和创新能力,本指导书旨在为学生提供电子技术实践教学的指导。

二、教学目标1. 使学生掌握电子技术的基本理论,包括电路分析、模拟电路、数字电路等。

2. 培养学生具备电子电路设计、调试、维修的能力。

3. 提高学生的动手能力和创新能力。

4. 培养学生的团队合作精神和沟通能力。

三、教学内容1. 电路分析基础(1)电路元件及其参数(2)电路分析方法(3)电路实验2. 模拟电路(1)放大电路(2)滤波电路(3)稳压电路(4)运算电路(5)模拟电路实验3. 数字电路(1)数字电路基础(2)组合逻辑电路(3)时序逻辑电路(4)数字电路实验4. 电子设计竞赛与创新能力培养四、实践教学安排1. 课堂实验(1)电路分析实验(2)模拟电路实验(3)数字电路实验2. 课程设计(1)电路设计(2)模拟电路设计(3)数字电路设计3. 电子设计竞赛五、教学方法和手段1. 讲授法教师讲解电子技术的基本理论,使学生掌握电子技术的基本概念和原理。

2. 案例分析法通过分析实际电路案例,使学生了解电路设计、调试、维修的技巧。

3. 实验法通过实验,使学生掌握电子技术实践技能。

4. 讨论法组织学生进行课堂讨论,提高学生的团队合作精神和沟通能力。

5. 网络教学利用网络资源,拓宽学生的知识面,提高学生的学习兴趣。

六、教学评价1. 课堂实验成绩2. 课程设计成绩3. 电子设计竞赛成绩4. 学生自评与互评七、教学资源1. 教材:《电子技术基础》、《模拟电子技术》、《数字电子技术》等。

2. 实验设备:示波器、万用表、信号发生器、电源等。

3. 网络资源:电子技术论坛、电子技术博客、电子技术视频等。

八、教学建议1. 注重基础知识的学习,为后续课程和实践打下坚实基础。

2. 积极参加实验和课程设计,提高实践能力。

3. 关注电子技术发展动态,拓宽知识面。

数字电子技术-实验指导书

数字电子技术-实验指导书

五、实验预习要求
1、 根据实验任务要求设计组合电路,并根据所给的标准器件画出逻辑
图。
2、 如何用最简单的方法验证“与或非”门的逻辑功能是否完好?
3、 “与或非”门中,当某一组与端不用时,应作如何处理?
4、 如何用与或非门设计一位全加器?
六、实验报告
1、列写实验任务的设计过程,画出设计的电路图。
2、对所设计的电路进行实验测试,记录测试结果。
表 2-2




LE BI LT D C B A a b c d e f g 显示字形 × × 0 ×× × × 1 1 1 1 1 1 1
× 0 1 × × × × 0 0 0 0 0 0 0 消隐
0 1 1 00 0 0 1 1 1 1 1 1 0
0 1 1 00 0 1 0 1 1 0 0 0 0
0 1 1 10 0 0 1 1 1 1 1 1 1
0 1 1 10 0 1 1 1 1 0 0 1 1
0 1 1 1 0 1 0 0 0 0 0 0 0 0 消隐
0 1 1 1 0 1 1 0 0 0 0 0 0 0 消隐
0 1 1 1 1 0 0 0 0 0 0 0 0 0 消隐
0 1 1 1 1 0 1 0 0 0 0 0 0 0 消隐
3、组合电路设计体会。
2
芯片引脚图 74LS00
74LS02
3
实验二 译码器及其应用
一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”, 变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途, 不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。 不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码 器。 1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如 2 线-4 线、3 线 -8 线和 4 线-16 线译码器。若有 n 个输入变量,则有 2n 个不同的组合状态,就有 2n 个输 出端供其使用。而每一个输出所代表的函数对应于 n 个输入变量的最小项。 以 3 线-8 线译码器 74LS138 为例进行分析,图 2-1(a)、(b)分别为其 逻辑图及引脚排列。

数字系统测试与可测性设计实验指导书ATPG应用

数字系统测试与可测性设计实验指导书ATPG应用

数字系统测试与可测性设计实验指导书ATPG应⽤《数字系统测试与可测性设计》实验指导书(⼆)实验教师:2012年4⽉9⽇I.实验名称和⽬的实验名称:ATPG应⽤实验⽬的:了解Mentor公司的FastScan-(ATPG⽣成⼯具)业界最杰出的测试向量⾃动⽣成⼯具。

了解测试各种基准电路的标准输⼊格式,运⽤FastScan⼯具⽣成测试向量。

深⼊理解单固定故障模型相关概念。

II.实验前的预习及准备⼯作:1、充分理解课堂上学习的故障模型相关概念。

2、Mentor公司的测试相关⼯具的介绍缩略语清单:ATPG :Automatic Test Pattern GenerationATE :Automated Test EquipmentBIST :Built In Self TestCUT :Chip/Circuit Under TestDFT :Design For TestabilityDRC :Design Rule Check ingPI :Primary InputPO :Primary Output组合ATPG⽣成⼯具FastScanFastScan是业界最杰出的测试向量⾃动⽣成(ATPG)⼯具,为全扫描IC设计或规整的部分扫描设计⽣成⾼质量的测试向量。

FastScan⽀持所有主要的故障类型,它不仅可以对常⽤的Stuck-at模型⽣成测试向量,还可针对transition模型⽣成at-speed测试向量、针对IDDQ模型⽣成IDDQ测试向量。

此外FastScan还可以利⽤⽣成的测试向量进⾏故障仿真和测试覆盖率计算。

另外,FastScan MacroTest模块⽀持⼩规模的嵌⼊模块或存储器的测试向量⽣成。

针对关键时序路径,Fastscan CPA模块可以进⾏全⾯的分析。

主要特点:⽀持对全扫描设计和规整的部分扫描设计⾃动⽣成⾼性能、⾼质量的测试向量;提供⾼效的静态及动态测试向量压缩性能,保证⽣成的测试向量数量少,质量⾼;⽀持多种故障模型:stuck-at、toggle、transition、critical path和IDDQ;⽀持多种扫描类型:多扫描时钟电路,门控时钟电路和部分规整的⾮扫描电路结构;⽀持对包含BIST电路,RAM/ROM和透明Latch的电路结构⽣成ATPG;⽀持多种测试向量类型:Basic,clock-sequential,RAM-Sequential,clock PO,Multi-load; ?利⽤简易的Procedure⽂件,可以很⽅便地与其他测试综合⼯具集成;通过进⾏超过140条基于仿真的测试设计规则检查,保证⾼质量的测试向量⽣成;?FastScan CPA选项⽀持at-speed测试⽤的路径延迟测试向量⽣成;FastScan MacroTest 选项⽀持⼩规模的嵌⼊模块或存储器的测试向量⽣成;FastScanDiagnostics 选项可以通过分析ATE 机上失败的测试向量来帮助定位芯⽚上的故障;ASICVector Interfaces 选项可以针对不同的ASIC ⼯艺与测试仪来⽣成测试向量;最新的ATPG Accelerator 技术可以⽀持多CPU 分布式运算;智能的 ATPG 专家技术简单易⽤,⽤户即使不懂ATPG ,也能够由⼯具⾃动⽣成⾼质量的测试向量;⽀持32位或64位的UNIX 平台(Solaris,HP-PA)及LINUX 操作平台;FastScan 的A TPG 流程由上图可知,在启动FastScan 时,FastScan ⾸先读⼊、解释并检查门级⽹表和⼀个DFT 库。

《数字电子技术》课程实验指导书

《数字电子技术》课程实验指导书

主编单位:重庆正大软件职业技术学院主编:周树林景兴红目录实验须知 (I)一门电路及其应用................................. - 1 - 二数据选择器及其应用实验......................... - 7 - 三同步计数器和异步计数器........................ - 13 - 四触发器及其应用实验............................ - 20 - 五 555时基电路及其应用.......................... - 28 - 六集成移位寄存器应用实验........................ - 35 - 七 AD转换和DA转换试验.......................... - 39 - 八译码器实验.................................... - 47 - 九 MOS门电路试验................................ - 54 - 十三人多数表决电路的设计........................ - 62 - 十一序列脉冲检测器的设计......................... - 64 - 十二多路抢答装置设计............................. - 67 - 十三数字电子秒表的设计........................... - 70 - 十四多功能流水灯的设计........................... - 74 -实验须知随着科学技术的发展,脉冲与数字技术在各个科学领域中都得到了广泛的应用,是一门实践性很强的技术基础课。

在学习中不仅要掌握基本原理和基本方法,更重要的是要灵和应用。

通过一定数量的实验,让学生掌握这门课的基本内容,熟悉工作原理,各集成器件的逻辑功能和使用方法,培养学生理论联系实际的能力,树立科学的工作作风。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Experiment 2 Designing Number Comparer实验目的: 熟悉QuartusII 的开发环境熟练掌握编程开发流程 学习VHDL 的基本语法 学习VHDL 编程设计实验内容:数值比较器设计实验要求:熟练掌握QuartusII 开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template )在QuartusII 开发环境下对设计程序进行时序仿真将生成的配置文件下载到实验板,进行最终的实物测试验证实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为:比较器特性表比较器电路示意图实验报告内容要求:(1) 实验目的; (2) 实验内容; (3) 实验要求; (4) 实验原理; (5) 程序编写; (6) 程序编译(首先选择器件具体型号); (7) 功能仿真和芯片时序仿真; (8) 芯片引脚设定; (9) 适配下载结果及结论。

NumberComparerA(3..0) B(3..0)In_s In_l In_eYl YeYs YExperiment 3 Designing 8 to1-Multiplxer实验目的:熟悉QuartusII的开发环境熟练掌握编程开发流程学习VHDL的基本语法学习VHDL编程设计实验内容:八选一数据选择器设计。

实验要求:熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template)在QuartusII开发环境下对设计程序进行时序仿真将生成的配置文件下载到实验板,进行最终的实物测试验证实验原理:电路功能表及其电路外部符号如下:电路功能表实验报告内容要求:(1)实验目的;(2)实验内容;(3)实验要求;(4)实验原理;(5)程序编写;(6)程序编译(首先选择器件具体型号);(7)功能仿真和芯片时序仿真;(8)芯片引脚设定;(9)适配下载结果及结论。

Experiment 4 Designing module _60实验目的:熟悉QuartusII的开发环境熟练掌握编程开发流程学习数字系统中层次化设计技巧学习显示译码电路分频电路、计数电路的VHDL程序设计学习结构化设计的VHDL程序设计实验内容:基于数码管显示的60进制计数器设计实验要求:熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程将50MHz信号分频为1Hz信号以1Hz为60进制计数器使能信号,实现60进制计数功能计数结果用两位数码管显示计数进位持续时间1s用LED显示设计位同步时序电路在QuartusII开发环境下对设计程序进行时序仿真将生成的配置文件下载到实验板,进行最终的实物测试验证实验原理:先对50MHz时钟信号分频得到1Hz,然后调用两个10进制计数器,计数到59时回到初始0的计数状态,每个10进制计数的技术结果通过显示译码送到两位数码管上显示,结构框图如下:50MHz数码管数码管(1) 实验目的; (2) 实验内容; (3) 实验要求; (4) 实验原理; (5) 程序编写;(6) 程序编译(首先选择器件具体型号); (7) 功能仿真和芯片时序仿真; (8) 结论。

Experiment 5 Designing shift_register实验目的: 熟悉QuartusII 的开发环境熟练掌握编程开发流程 学习VHDL 的基本语法学习数字系统中移位寄存器设计及其VHDL 编程实验内容:移位寄存器设计实验要求:熟练掌握QuartusII 开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template )在QuartusII 开发环境下对设计程序进行时序仿真将生成的配置文件下载到实验板,进行最终的实物测试验证实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为:比较器特性表比较器电路示意图Shift_registerSr slData_in(3..0) clk clr load ctrData_q(3..0)(9)实验目的;(10)实验内容;(11)实验要求;(12)实验原理;(13)程序编写;(14)程序编译(首先选择器件具体型号);(15)功能仿真和芯片时序仿真;(16)芯片引脚设定;(17)适配下载结果及结论。

实验2考程序Library ieee;Use ieee.std_logic_1164.all;Entity compare_2 isPort( data_a,data_b:in std_logic_vector(3 downto 0);In_s,In_l,In_e:in std_logic;Ys,Yl,Ye:out std_logic);End compare_2;Architecture rtl of compare_2 isSignal tmps,tmpe: std_logic;BeginYs<=tmps;Ye <= tmpe;Yl <= tmps nor tmpe;Aa:process(data_a,data_b, In_e)BeginIf(data_a = data_b and In_e = ‘1’) thentmpe<=’1’;elsetmpe<=’0’;End if;End process aa;bb:process(data_a,data_b, In_s)BeginIf(data_a<data_b) thentmps<=’1’;elsif(data_a=data_b and In_s=’1’) thentmps<=’1’;Elsetmps<=’0’;End if;End process bb;End rtl;实验3参考程序ENTITY mux8_1 ISPORT(d : IN STD_LOGIC_VECTOR(7 DOWNTO 0);sel : IN STD_LOGIC_VECTOR(2 DOWNTO 0);sb : IN STD_LOGIC;y : OUT STD_LOGIC);END mux8_1;ARCHITECTURE rtl OF mux8_1 ISBEGINPROCESS (d, sel,sb)BEGINIf(sb=’0’) thenCase(sel)When "000" => y<=d(0);When "001" => y<=d(1);When "010" => y<=d(2);When "011" => y<=d(3);When "100" => y<=d(4);When "101" => y<=d(5);When "110" => y<=d(6);When "111" => y<=d(7);When others => y<=’X’;end case;elsey<=’0’;end if;END PROCESS;END rtl;实体(entity)名称与文件名称一致;时序仿真时,波形编辑后先保存波形文件再进行时序仿真;分配管脚后,需要重现编译,然后才能下载。

设计流程:新建项目→新建源文件→输入语言→编译→仿真→分配管脚→编译→下载仿真流程:新建波形文件→导入管脚信号并编辑输入信号→仿真下载的时候选择硬件为:BytebBlaster[LPT1]实验4参考程序library ieee;use ieee.std_logic_1164.all;entity count_60 isport(clk,rst:in std_logic;count_data10,count_data1: out std_logic_vector(6 downto 0);en_out :out std_logic);end count_60;architecture rtl of count_60 iscomponent sec_out port(clk,rst:in std_logic;en_out :out std_logic);end component;component count_10 port(clk,rst,en_in,ld:in std_logic;data_in:in std_logic_vector(3 downto 0);data_out:out std_logic_vector(3 downto 0);en_out :out std_logic);end component;component dis_decode port(data_in:in std_logic_vector(3 downto 0);dis_num :out std_logic_vector(6 downto 0));end component;signal cont_tmp10,cont_tmp1,data_in:std_logic_vector(3 downto 0);signal ld,sec_en,sec_en10,min_out:std_logic;beginld<=sec_en10 and cont_tmp10(2) and cont_tmp10(0);data_in<="0000";en_out<=cont_tmp10(2) and cont_tmp10(0) and cont_tmp1(3) and cont_tmp1(0); U0:sec_out port map(clk,rst,sec_en);U1:count_10 port map(clk,rst,sec_en,ld,data_in,cont_tmp1,sec_en10);U2:count_10 port map(clk,rst,sec_en10,ld,data_in,cont_tmp10,min_out);U3:dis_decode port map(cont_tmp1,count_data1);U4:dis_decode port map(cont_tmp10,count_data10);end rtl;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity count_10 isport(clk,rst,en_in,ld:in std_logic;data_in:in std_logic_vector(3 downto 0);data_out:out std_logic_vector(3 downto 0);en_out :out std_logic);end count_10;architecture rtl of count_10 issignal cont_tmp:std_logic_vector(3 downto 0); beginen_out<=en_in and cont_tmp(3) and cont_tmp(0); data_out<=cont_tmp;process(clk,rst)beginif(rst='0')thencont_tmp<="0000";elsif(clk'event and clk='1')thenif(ld='1')thencont_tmp<=data_in;elsif(en_in='1')thenif(cont_tmp="1001")thencont_tmp<="0000";elsecont_tmp<=cont_tmp+'1';end if;end if;end if;end process;end rtl;library ieee;use ieee.std_logic_1164.all;entity dis_decode isport(data_in:in std_logic_vector(3 downto 0);dis_num :out std_logic_vector(6 downto 0)end dis_decode;architecture rtl of dis_decode isbeginwith data_in selectdis_num<="0111111" WHEN "0000","0000110" WHEN "0001","1011011" WHEN "0010","1001111" WHEN "0011","1100110" WHEN "0100","1101101" WHEN "0101","1111101" WHEN "0110","0000111" WHEN "0111","1111111" WHEN "1000","1100111" WHEN "1001","0000000" WHEN others;end rtl;library ieee;use ieee.std_logic_1164.all;entity sec_out isport(clk,rst:in std_logic;en_out :out std_logic);end sec_out;architecture rtl of sec_out iscomponent divide_5 port(clk,rst,en_in:in std_logic;en_out :out std_logic);end component;component divide_10 port(clk,rst,en_in:in std_logic;en_out :out std_logic);end component;signal en0,en1,en2,en3,en4,en5,en6:std_logic; beginU0:divide_5 port map(clk,rst,'1',en0);U1:divide_10 port map(clk,rst,en0,en1);U2:divide_10 port map(clk,rst,en1,en2);U3:divide_10 port map(clk,rst,en2,en3);U4:divide_10 port map(clk,rst,en3,en4);U5:divide_10 port map(clk,rst,en4,en5);U6:divide_10 port map(clk,rst,en5,en6);U7:divide_10 port map(clk,rst,en6,en_out); end rtl;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity divide_5 isport(clk,rst,en_in:in std_logic;en_out :out std_logic);end divide_5;architecture rtl of divide_5 issignal cont_tmp:std_logic_vector(2 downto 0); beginen_out<=en_in and cont_tmp(2);process(clk,rst)beginif(rst='0')thencont_tmp<="000";elsif(clk'event and clk='1')thenif(en_in='1')thenif(cont_tmp="100")thencont_tmp<="000";elsecont_tmp<=cont_tmp+'1';end if;end if;end if;end process;end rtl;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity divide_10 isport(clk,rst,en_in:in std_logic;en_out :out std_logic);end divide_10;architecture rtl of divide_10 issignal cont_tmp:std_logic_vector(3 downto 0);beginen_out<=en_in and cont_tmp(3) and cont_tmp(0); process(clk,rst)beginif(rst='0')thencont_tmp<="0000";elsif(clk'event and clk='1')thenif(en_in='1')thenif(cont_tmp="1001")thencont_tmp<="0000";elsecont_tmp<=cont_tmp+'1';end if;end if;end if;end process;end rtl;实验5参考程序library ieee;use ieee.std_logic_1164.all;ENTITY shift ISPORT(clr,clk,load,shift_en,ctr,sr,sl : IN STD_LOGIC;data_in : IN STD_LOGIC_VECTOR(3 downto 0);data_q : OUT STD_LOGIC_VECTOR(3 downto 0)); END shift;ARCHITECTURE arc OF shift ISSIGNAL data_tmp : STD_LOGIC_VECTOR(7 downto 0);Signal en_tmp1, en_tmp2, en_tmp: std_logic;BEGINPROCESS(clr,clk)BEGINIF (clr='0') THENen_tmp1 <=’0’;elseen_tmp1 <=shift_en;end if;end process;PROCESS(clr,clk)BEGINIF (clr='0') THENen_tmp2 <=’0’;elseen_tmp2<= en_tmp1;end if;end process;en_tmp<= (not en_tmp1) and en_tmp2;data_q<= data_tmp;PROCESS(clr,clk)BEGINIF (clr='0') THENdata_tmp <="0000";ELSIF (clk'EVENT AND clk='1') THENIF load='0' THENdata_tmp <= data_in;ELSIF (en_tmp p='1' AND ctr='0') THENdata_tmp <= data_tmp (7 downto 1)&sr;ELSIF (en_tmp ='1' AND ctr='1') THENdata_tmp <=sl & data_tmp (6 downto 0);END IF;END IF;END PROCESS;END arc;。

相关文档
最新文档