数字系统设计样卷
《 数字系统设计 》试卷含答案
,考试作弊将带来严重后果!华南理工大学期末考试《数字系统设计》试卷1. 考前请将密封线内各项信息填写清楚;所有答案请直接答在试卷上(或答题纸上);.考试形式:开(闭)卷;(每小题2分,共16分)大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理( C )CPLD即是现场可编程逻辑器件的英文简称;CPLD是基于查找表结构的可编程逻辑器件;早期的CPLD是从GAL的结构扩展而来;在Altera公司生产的器件中,FLEX10K 系列属CPLD结构;在VHDL语言中,下列对时钟边沿检测描述中,错误的是( D )then ...;then ...;then ...;在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确( A )PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一.敏感信号参数表中,应列出进程中使用的所有输入信号;进程由说明部分、结构体部分、和敏感信号参数表三部分组成;当前进程中声明的信号也可用于其他进程基于EDA软件的FPGA / CPLD设计流程,以下流程中哪个是正确的:( C )原理图/HDL文本输入→适配→综合→时序仿真→编程下载→功能仿真→硬件测试原理图/HDL文本输入→功能仿真→综合→时序仿真→编程下载→适配→硬件测试;原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载→硬件测试原理图/HDL文本输入→适配→时序仿真→编程下载→功能仿真→综合→硬件测试。
关于综合,从输入设计文件到产生编程文件的顺序正确的是:(B).逻辑综合→高层次综合→物理综合;B. 高层次综合→逻辑综合→物理综合;C. 物理综合→逻辑综合→高层次综合;D. 高层次综合→逻辑综合→时序综合;6. 进程中的信号赋值语句,其信号更新是( C )A. 按顺序完成;B. 比变量更快完成;C. 在进程的挂起时完成;D. 都不对。
数字系统设计大作业
begin
ba<=b&a; --ba<=e&a;b<=e;
process(a,clk)
begin
-- if rising_edge(clk) then c<=c+1;
--case c is
-- when "00"=>e<="0111";when "01"=>e<="1011";
图6-2(a)时序仿真初始图
图6-2(b)时序仿真结果图
具体分析:
如图6-2(b)所示,
(1)当a=0111,b=1110时,对应输出s=0000,此时对应键盘上的S0,输出信息为1;
(2)当a=0111,b=1101时,对应输出s=0001,此时对应键盘上的S2,输出信息为2;
(3)当a=1101,b=1001时,对应输出s=1001,此时对应键盘上的SA,输出信息为9.
1011
1101
9
1010
0111
1101
#
1011
1110
1110
A
1100
1101
1110
B
1101
1011
1110
C
1110
0111
1110
D
1111
3)译码显示模块
该模块包括输出低电平与LED灯显示。因为实验箱的LED灯是低电平有效,所以需要把输出变量r进行逻辑“非”变换。该部分采用了4个非门,分别将输入的4位扫描模块输出变量取反。LED灯的亮灭表示输出变量r。r为高电平时灯亮,r为低电平时灯灭,这样就能更清晰地显示出实验结果,使人一目了然。
《数字系统设计》总复习题
《数字系统设计》复习题一、选择题1.一个项目的输入输出端口是定义在。
A.实体中B.结构体中C.任何位置D.进程体2.描述项目具有逻辑功能的是。
A.实体B.结构体C.配置D.进程3.关键字ARCHITECTURE定义的是。
A.结构体B.进程C.实体D.配置4. MAXPLUSII中编译VHDL源程序时要求。
A.文件名和实体可以不同名B.文件名和实体名无关C.文件名和实体名要相同D.不确定5. 1987标准的VHDL语言对大小写是。
A.敏感的B.只能用小写C.只能用大写D.不敏感6.关于1987标准的VHDL语言中,标识符描述正确的是。
A.必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7.关于1987标准的VHDL语言中,标识符描述正确的是。
A.下划线可以连用B.下划线不能连用C.不能使用下划线D.可以使用任何字符8.符合1987VHDL标准的标识符是。
A. A_2B. A+2C. 2AD. 229.符合1987VHDL标准的标识符是。
A. a_2_3B. a_2C. 2_2_aD. 2a10.不符合1987VHDL标准的标识符是。
A. a_1_inB. a_in_2C. 2_aD. asd_111.不符合1987VHDL标准的标识符是。
A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是。
A.实体中中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置13. VHDL语言中信号定义的位置是。
A.实体中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置14.变量是局部量可以写在。
A.实体中B.进程中C.线粒体D.种子体中15.变量和信号的描述正确的是。
A.变量赋值号是:=B.信号赋值号是:=C.变量赋值号是<=D.二者没有区别16.变量和信号的描述正确的是。
A.变量可以带出进程B.信号可以带出进程C.信号不能带出进程别17.关于VHDL数据类型,正确的是。
(完整word版)数字系统设计试卷2012A卷(word文档良心出品)
中国矿业大学2012~2013学年第一学期《数字系统设计基础》试卷(A)卷考试时间:100 分钟考试方式:闭卷学院_________班级_____________姓名___________学号____________一、选择题(20分,每题2分)1.不完整的IF语句,其综合结果可实现:_________A. 三态控制电路B. 条件相或的逻辑电路C. 双向控制电路D. 时序逻辑电路2.关于进程语句说法错误的是_________A. PROCESS为一无限循环语句(执行状态、等待状态)B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性C. 进程必须由敏感信号的变化来启动D. 变量是多个进程间的通信线3、对于VHDL以下几种说法错误的是___________A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成C. VHDL程序中是区分大小写的D.结构体描述元件内部结构和逻辑功能4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。
A. 实体与结构体之间的连接关系;B. 器件的内部功能;C. 实体使用的库文件;D. 器件外部可见特性如端口的数目、方向等5. 组合逻辑电路中的毛刺信号是由于______引起的。
A. 电路中存在延迟B.电路不是最简C. 电路有多个输出D.电路中使用不同的门电路6. 下列关于临界路径说法正确的是___________A. 临界路径与系统的工作速度无关B. 临界路径减小有助于缩小电路规模C. 临界路径减小有助于降低功耗D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径7. 关于FPGA和CPLD的区别说法正确的是___________A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑B. FPGA 的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而CPLD的分段式布线结构决定了其延迟的不可预测性C. 在编程上CPLD 比FPGA具有更大的灵活性D. CPLD的集成度比FPGA高,具有更复杂的布线结构和逻辑实现。
数字系统设计期中试卷(09级)
A.数据分配器B.数据选择器C.编码器D.译码器
7.下面列出的选项中,()不是消除竞争-冒险的方法。
A.修改逻辑设计B.接入滤波电容C.引入选通脉冲D.进行时序仿真
8.设x是一个STD_LOGIC_VECTOR(7 DOWNTO 0)类型的信号,则信号赋值语句x<=’0’ & x(7 DOWNTO 1)的作用是将x()。
2.一个逻辑函数可有多种表达形式,下面几种形式中,()一定是唯一的。
A.最小项表达式B.最简或与式C.最简与或式
3.8位二进制补码能够表示的十进制有符号数的范围是()
A.0255B.-128+127C.-255+255D.-127+127
4.根据对偶规则可写出F=A+((BC)+B(A+C))的对偶式为FD=()。
6.可以将若干个TTL与非门的输出直接连接在一起,实现线与的功能。()
7.利用逻辑门实现某逻辑函数时,逻辑门的多余输入端可以悬空。()
8.在VHDL程序中,为了能够读取某输出信号的状态,应将它设置为BUFFER模式。()
9.在VHDL语法中,变量赋值是立即生效的,不允许出现附加延时。()
10.一个逻辑门可以有两个扇出系数,分别是输出高电平时和输出低电平时的扇出系数。()
3.(10分)试用一个8选1的数据选择器及反相器设计一个逻辑电路,完成下面的功能(A、B为数据输入端)。画出逻辑电路图,并写出设计过程。
S1S0
F
0 0
AB
0 1
A+B
1 0
A⊙B
1 1
AB
2.含2个逻辑变量的逻辑函数F=AB+AB的值恒等于1。()
2012 数字系统设计期末试卷(10级)A卷
B.多数表决电路
C.偶校验码产生电路D.二选一数据选择电路
8.图1电路由2个传输门TG1、TG2和3个或非门G1、G2、G3组成,其功能是()。
A.主从结构D触发器B.边沿触发D触发器
C.同步D触发器D.反相器
9.图2中,74290是异步二-五-十进制计数器,下降沿触发;CLK0是二进制计数器脉冲输入,Q0是输出;CLK1是五进制计数器脉冲输入,Q3Q2Q1是输出;R01、R01为异步清零端,高电平有效。则图2的电路的功能是()。
2)画出电路连接图。(6分)
4)当分频控制信号Y1Y0取何值时,输出F1的频率最高?F1的最高频率为多少?(2分)
5)当分频控制信号Y1Y0取何值时,输出F2的频率最低?F2的最低频率为多少?(2分)
六、设计题:根据要求设计电路,写出设计步骤(8+10=18分)
1.(8分)右图为的带有异步复位端的T触发器:
1)写出该T触发器的特性表;(2分)
1D0-1D3、2D0-2D3是两组独立的数据输入端;
A1、A0是公共地址输入端;
1F、2F分别是两组4选1数据选择器的输出端;
1)分析该电路中74HC161的作用,74HC253的作用,以及电路的分频工作原理。(4分)
2)当分频控制信号Y1Y0=10时,输出信号F1和F2的频率为多少?(4分)
3)欲使信号F1的频率为64KHz,分频控制信号Y1Y0应该取什么值?(2分)
四、逻辑函数分析及化简,写出步骤(10分)
1.某逻辑电路的输入D4D3D2D1是8421BCD码,电路输出Y4Y3Y2Y1为2421码(2421码的名字来源于每一位的权重,2421码中1011相当于十进制数2+0+2+1=5,1100相当于十进制数2+4+0+0=6)。
数字系统设计期末试卷(08级)
注:1、教师命题时题目之间不留空白;2、考生不得在试题纸上答题,教师只批阅答题册正面部分,若考
注:1、教师命题时题目之间不留空白;2、考生不得在试题纸上答题,教师只批阅答题册正面部分,若考
(6分)画出下图中触发器电路Q1、Q2在CP作用下的输出波形(设
要求画出时钟边沿的标识线,并写出分析过程。
六、设计题:根据要求设计电路,写出设计步骤(8+10+10=28
1.(8分)用VHDL描述一个4线-2线优先编码器,写出VHDL
注:1、教师命题时题目之间不留空白;2、考生不得在试题纸上答题,教师只批阅答题册正面部分,若考
注:1、教师命题时题目之间不留空白;2、考生不得在试题纸上答题,教师只批阅答题册正面部分,若考。
数字系统设计期末试卷参考答案(08级)
08级“数字系统设计”期末考试参考答案一、填空(每空1分,共15分)1. 52.互补(m i=M i')3.RS触发器、RS=04.输入、电路的原状态5.实体说明、结构体6.低电平7.168.09.时钟10.A1、A2…… An中有奇数个111.同步、异步二、单项选择题(每小题1分,共10分)1.( C )2.( C )3.( D )4.( A )5.( B )6.( C )7.( C )8.( B )9.( D )10.( A )三、判断改错题(判断下列命题是否正确,若错误,请改正过来,每小题2分,共20分)1.错,可以定义其类型为STD_LOGIC,但是不能是BIT,因为BIT类型不能表示高阻态。
2.对。
3.错,OC门能完成“线与”逻辑功能,而TTL与非门不允许线与。
4.对。
5.错,多余的输入端可以接地,或与有用输入端并接。
()6.对。
7.对。
8.对。
9.错,两个状态等价的条件是,所有输入情况下它们对应的输出以及次态都是相同的。
10.错,奇偶校验可以检测出奇数个码元发生错误的情况四、逻辑函数化简,写出步骤(4+6=10分)1.方法一:F(A,B,C,D)=(ABCD)'+( ABC)'D+(AB)'CD+(AB)'CD'+A'BCD'+ABC'D+ABCD'+A(BCD)' +AB'CD'=A'+B'+C'+D'+(A'+B'+C')D+( A'+B')CD+( A'+B')CD'+A'BCD'+ABC'D+ABCD'+A(B'+C '+D')+ AB'CD'= A'+B'+C'+D'方法二:由函数式可画出卡诺图如下:由卡诺图化简得:F= A'+B'+C'+D'2.输入ABCD为8421BCD码,故取值为0000~1001,当取值为0000、0011、0110、1001时,F=1,取其他的8421BCD码时,输出为0;1010~1111为无关项,由此可画出卡诺图如下:F=A'B'C'D'+AD+B'CD+BCD'五、按要求完成下列各题,并写出分析步骤(5+6+6=17分)1.(5分)分析下面的电路是否存在竞争-冒险现象?由逻辑电路图可写出函数表达式:F=AC+A'C'+A'B,当B=C=1时,F=A+A',故该电路存在竞争-冒险现象2.(6分)方法一:74283的输出S= A4A3A2A1+ B4B3B2B1+C0= ABCD+A'A'0A'输入ABCD是余3码,即取值范围为:0011~1100当ABCD=0011~0111时,A=0,74283的输出S=ABCD+1101=0000~0100当ABCD=1000~1100时,A=1,74283的输出S=ABCD+0000=ABCD即:对于十进制数0~4的余3码,该电路输出0000~0100;对于十进制5~9的余3码,该电路输出为1000~1100——5421码输出故该电路的功能是将余3码转换成5421码输出方法二:74283的输出S= A4A3A2A1+ B4B3B2B1+C0= ABCD+A'A'0A'输入ABCD是余3码,即取值范围为:0011~1100,列出真值表如下:由真值表可知,该电路的功能是将余3码转换成5421码。
福师大EDA数字系统设计作业
5.1、下列标识符哪些是合法的,哪些是错误的?Count,8sum,a*b,_data,wait,initial,$latch合法的:Count,,wait,initial错误的:8sum,a*b,_data,,$latch5.2、下列数字的表示是否正确?6’d18,’Bx0,5’box110,’da30,10’d2,’hzF正确的:’Bx0,’da30,’hzF错误的:6’d18,5’box110,10’d2,6.3用行为语句设计一个8位计数器,每次在时钟的上升沿,计数器加1,当计数器溢出时,自动从零开始重新计数。
计数器有同步复位端。
Moudule(out,data,load,reset,clk);output[7:0] out;input[7:0] data;input load, clk, reset;reg[7:0]out;always @(posedge clk)beginif(!reset)out=8"h00;else if(load)out=data;elseout=out+1;endendmodule6.4设计一个4位移位寄存器module e(out_data, in_data, clk, clr);output[3: 0] out_data;input [3: 0]in_data;input clk,clr;reg[3: 0] out_dataalways @(posedge clk or posedge clr)beginif(clr) out_data <=0;endendmodule7.4试编写同步模5计数器程序,有进位输出和异步复位端。
module test_cnts(clk, reset, car, out);input clk,reset;output car,out;reg[2:0]cnt;reg car;wire[2:0]out;assign out=cnt;always@(posedge clk or negedge reset) beginif(!reset)begincntく=3’b000;car<=0;endelse beginif(cnt==3’b100)begincar<=3’b001;cnt<=3'b000:endelse begincntく=cnt+3’b001;car<=3’b000;endendendend module7.5编写4位串并转换程序reg[7:0] data;reg[2:0] cnt;always@(posedge clk or posedgerst)if(rst)reg <= 0;elsereg <= {reg[7:0],din};always@(posedge clk or posedge rst) if(rst)cnt <= 0;elseif(din_valid)if(cnt == 7)cnt <= 0;elsecnt <= cnt +1;elsecnt <= cnt;always@(posedge clk or posedgerst) if(rst)dout <= 0;dout_en <= 0;elseif(cnt == 7)dout <= data;dout_en <= 1;elsedout <= 0;dout_en <= 0;。
《数字系统设计》试卷A部分答案PPT教学课件
2
(1)
idle
1
r1
0 g1
1
r2
0 g2
0
1
r3
g3
1
r1
0
1
0
r2
1 0
r3
2020/12/10
(2)
idle
r3='1' and
Y
k1k2="11"
N
Y
r1='1'
N
r2='1'
N
r3='1'
Y
Y N
S3 g3='1' k1='0' k2='0'
S1 k1='1' g1='1'
S2 k2='1' g2='1'
each clock cycle in steady-state simulation(ignore any irregularities in the first few clock cycles) • 2)for full marks, if the code does not match, you must explain why. • 3) assume that all signals, constrants, variables, types, etc are properly defined and declared. • 4) all of the codes are leagal, synthesizable VHDL code.
Architecture a of q is Begin
process begin a <= ‘1’; loop wait until rising_edge(clk); a <= NOT a; end loop;
可编程数字系统设计题集
可编程数字系统设计题集一、选择题1. 在数字逻辑中,以下哪种逻辑门的输出是输入的反相?()A. 与门B. 或门C. 非门D. 与非门答案:C解析:非门的功能就是实现输入信号的反相输出。
2. 对于一个 4 输入的与门,当输入为 1010 时,输出为()A. 1B. 0C. 不确定D. 以上都不对答案:B解析:与门只有在所有输入都为 1 时输出才为 1,输入 1010 中包含 0,所以输出为 0。
3. 以下哪种逻辑表达式表示异或关系?()A. F = A + BB. F = A • BC. F = A ⊕ BD. F = A ¬ B + ¬ A B答案:D解析:异或关系的逻辑表达式为 F = A ¬ B + ¬ A B ,A ⊕ B 也是异或的常见表示符号。
4. 若要实现一个 2 输入的或非门,以下哪种组合是正确的?()A. 输入 00 ,输出 1B. 输入 01 ,输出 0C. 输入 10 ,输出 0D. 输入 11 ,输出 0答案:D解析:或非门是先进行或运算,然后再取反。
11 进行或运算结果为 1,取反后为 0 。
5. 在数字电路中,以下哪种编码常用于表示十进制数?()A. 二进制编码B. BCD 码C. 格雷码D. 余 3 码答案:B解析:BCD 码(Binary-Coded Decimal)是专门用于表示十进制数的编码。
6. 一个 8 位的二进制数,其能表示的最大无符号整数是()A. 255B. 256C. 127D. 128答案:A解析:8 位二进制数能表示的范围是 0 到 2^8 - 1,即 0 到 255 。
7. 对于一个 3 位的二进制加法计数器,当计数到 111 时,下一个时钟脉冲到来后的计数值是()A. 000B. 100C. 110D. 不确定答案:A解析:3 位二进制加法计数器满值为 111 ,再计数则回到 000 。
8. 以下哪种逻辑门可以实现数据的选择传输?()A. 与门B. 或门C. 异或门D. 数据选择器答案:D解析:数据选择器根据控制信号选择输入数据中的一路进行输出。
数字系统(EDA)样卷
)。
C. AHDL和Verilog HDL
D. 只有Verilog HDL
4.本课程实验开发系统上的下载板所配置的目标芯片的型号
是( )。
A. FLEX10K系列 EPF10K10LC84-3 B. FLEX10KE系列 EPF10K30EFC484-1 C. FLEX10K系列 EPF10K10LC84-4 D. MAX7000系列 EPM7096LC84-7
重置
4 课程自测-《数字系统设计》样卷 课程自测—样卷1
4.写出下图所示电路的Verilog 结构描述程序。(5分)
答 : m o d u le D F F (D ,C P ,Q ,N Q ); in p u t D ,C P ; o u tp u t Q ,N Q ; w ire N D ,R ,S ; n o t (N D ,D ); n a n d N 1 (R ,D ,C P ), N 2 (S ,N D ,C P ); n a n d N 3 (Q ,N Q ,R ), N 4 (N Q ,Q ,S ); en d m o d u le
4 课程自测-《数字系统设计》样卷 课程自测—样卷1
3.什么是Top_down设计?
重置
答 : Top_down设 计 , 即 自 顶 向 下 的 设 计 。 这 种 设 计 方 法 首 先 从 系 统 入手,在顶层进行功能方框图的划分和结构设计。在功能级进行仿 真、纠错,并用硬件描述语言对高层次的系统行为进行描述,然后 用综合工具将设计转化为具体门电路网表,其对应的物理实现可以 是 P L D 器 件 或 专 用 的 集 成 电 路 (A S IC )。
sel a b 1 0
F
答 : a ,b ,s e l,F (1 分 ) a ,b ,s e l (1 分 ) F (1 分 ) F = s e l? a :b ; (2 分 )
华南理工大学_2013年_数字系统设计(全英)试题_A卷
C. Two-process description style consumes more resources than one-process description6. Which of the following statements on metastability is true ( B )A. In sequential circuit, metastability doesn’t occur if either the set-up time requirement or the holding time requirement is met.B. Metastability doesn’t negatively impact the system if the metastable output resolves to the normal state before it is captured by the next register.C. Metastability usually occurs in synchronous circuit.7. Which of the following statements on VHDL signal is not true(C)A . VHDL signal is usually synthesized as node or wire.B. In VHDL entity, port is considered as signal by defaultC. Assignment to the same signal in different processes can be synthesized, but only one signal assignment takes effect.8. For state encoding in state machine, which of the following scheme is more simple for decoding at the prices of more Flip-Flops in encoding: ( A)A. one hot codeB. Natural binary codeC.Gray code9. Which of the following statements on VHDL case statement is not true( B )A. Each branch of case statement should be corresponding to one or several possible values of the evaluated expression.B. Statement “WHEN OTHERS=>NULL” must be included in case statementC. In execution of case statement,only one branch is selected10. Which of the following statements is not concurrent ?( B )A. process statementB.CASE statementC. component instantiationD.WHEN…ELSE…statement2. Short answer questions( 5ⅹ4=20 marks)1、Please specify the basic components of ASM chart, particularly, explain what a state is.Basic components of ASM chart: state box, decision box, and conditional output box.One state is more than a state box, conditional output box, or decision box can also be a part of the state. A state represents the system state during one clock cycle, indicating the operations to be done in the state.2、What is the difference between sequential logic circuit and combinational logic circuit?Combinational circuit: changes in inputs are immediately reflected by changes in output. The stable output depends on the current input only.The outputs of a system depend on past values of its inputs as well as the present state values.(depend on both present state and history state)3、Please specify the basic structure of sequential logic circuitStructure: it is composed of combinational logic gates, and memory components such as Flip-flop, registers.4、Please describe the concept of set-up time and holding time.Set up time:To ensure reliable operation, the input to a register must be stable for a minimum time before the clock edge (register setup time or tSU). if the time is not long enough, reliable operation can not be guaranteed.Hold time:To ensure reliable operation, the input to a register must be stable for a minimum time after the clock edge (register hold time or tH). if the time is not long enough, reliable operation can not be guaranteed.3、Comprehension & design ( 60 marks)1、Using VHDL, Please describe a tri-state multiplexer (MUX) according to theinput outputLIBRARY IEEE;USE IEEE.std_logic_1164.ALL;ENTITY MUX ISPORT(oe, a, b, sel: in std_logic; y: out std_logic); END MUX;ARCHITECTURE BEHAV OF MUX IS BEGINPROCESS(oe,a,b,sel)BEGINIf oe=’1’ thenif sel=’0’ theny<=a;elsey<=b;end if;elsey<=’Z’;end if;END PROCESS:END ARCHITECTURE;2、As a part of testbench, please describe the following stimuli (6 marks)…Signal S1:std_logic;Signal S2:std_logic;…ProcessBeginS1<=’0’;Wait for 10 ns;S1<=’1’;Wait for 5 ns;S1<=’0’;Wait for 10 ns;End process;ProcessBeginS1<=’0’;Wait for 5 ns;S1<=’1’;Wait for 15 ns;S1<=’0’;Wait for 5 ns;End process;3、Please draw the RTL diagram for the following VHDL codes(5 marks)entity var_sig isport(data : in bit_vector (1 downto 0) ; clk : in bit; z : out bit);constant k1 : bit_vector := “01”;constant k2 : bit_vector := “10”;end var_sig;architecture A of var_sig isbeginvar : processvariable a1 , a2 :bit_vector (1 downto 0);variable a3 : bit;beginwait until clk = ‘1’ and clk’ event ;a1 := data and k1;a2 := data and k2;a3 := a1(0) or a2(1);z <= a3;end process var;end A4、Please complete the waveforms according to the following VHDL codes(6 marks)Library ieee;Use ieee.std_logic_1164.all;Entity D_latch isport ( D, Enable: in std_logic ;Q1,Q2: out std_logic );End D_latch;Architecture behav of D_latch isBeginprocess(D, Enable)beginif (Enable=‘1’) then Q1<=D;end if;end process;process(Enable)beginif (Enable=‘1’) then Q2<=D;end if;end process;End behav;5、Design a 4-bit ALU (Arithmetic Logic Unit), which can complete the following operations on 4-bit inputs a and b:1)Mode 1: Addition (a +b)Mode 2: OR (a or b)Mode 3: AND (a and b)Mode 4: XOR (a xor b)3) The addition operation should have carried-in bit and carried-out bitQuestions: (13 marks)1.Please indicate the inputs and outputs of the ALUInputs: a, b, M0,M1,ciOuput: s, co2.Please finish VHDL design of ALU,including entity and architecturedescription.library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;Entity ALU isport ( a, b :in std_logic_vector(3 downto 0);m0, m1, ci: in std_logic;s: out std_logic_vector(3 downto 0);co: in std_logic;);end ALU;architecture behav of ALU issignal mode : std_logic_vector(1 downto 0);beginmode<=m1&m0;processvariable temp1, temp2, temp3: std_logic_vector(4 downto 0);beginif mode=”00” thentemp1:=0&a;temp2:=0&b;temp3:= temp1+ temp2+ci;co=temp3(4);s<=temp3(3 downto 0);elsif mode=”01” thens<=a or b;elsif mode=”10” thens<=a and b;elsif mode=” 11” thens<=a xor b;elses<=”ZZZZ”;co<=’Z’;end if;end process;end architecture;6. Please read each piece of the following codes carefully. Does each of them have the same circuit behavior like the following circuit diagram? If no, please give the reasons. (9 marks)(a)processbeginwait until rising_edge(clk);d <= not c;c <= a and b;end process;(b)processbeginwait until rising_edge(clk);c1 <= a and b;c2 <= not c1;d <= c2;end process;(c)processbeginwait until rising_edge(clk);c1 <= a and b;d <= c2;end process;process (c1)beginc2 <= not c1;end process;(a)yes:(b)no: extra register is introduced.(c) yes7、Design a serial data transmitter (串行数据发送器)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
选择题1.下列那个流程是正确的基于EDA软件的FPGA / CPLD设计流程:(B)A. 原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试B. 原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试C. 原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;D. 原理图/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试2.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,____C_____是错误的。
A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C. 综合是纯软件的转换过程,与器件硬件结构无关;D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。
3.CPLD的可编程是主要基于什么结构:。
(D )A .查找表(LUT);B. ROM可编程;C. PAL可编程;D. 与或阵列可编程;4. IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为:。
(C)A. 硬IP;B. 固IP;C. 软IP;D. 都不是;5.在VHDL语言中,下列对时钟边沿检测描述中,错误的是___D____。
A. if clk’event and clk = ‘1’ thenB. if falling_edge(clk) thenC. if clk’event and clk = ‘0’ thenD.if clk’stable and not clk = ‘1’ then6.不完整的IF语句,其综合结果可实现____A____。
A. 时序电路B. 双向控制电路C. 条件相或的逻辑电路D. 三态控制电路7.在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。
(D)A. idata <= “00001111”B. idata <= b”0000_1111”;C. idata <= X”AB”D. idata <= 16”01”;8.进程中的信号赋值语句,其信号更新是___C____。
A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。
9.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____ B ______。
A.器件外部特性;B.器件的内部功能;C.器件的综合约束;D.器件外部特性与内部功能。
10.下列标识符中,_____ B _____是不合法的标识符。
A. State0B. 9moonC. Not_Ack_0D. Signall11.关于VHDL中的数字,请找出以下数字中最大的一个:____ A ______。
A. 2#1111_1110#B. 8#276#C. 10#170#D. 16#E#E112.下列EDA软件中,哪一个不具有逻辑综合功能:____ B ____。
A. Max+Plus IIB. ModelSimC. Quartus IID. Synplify程序填空:1. 下面程序是1位十进制计数器的VHDL描述,试补充完整。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT ( CLK : IN STD_LOGIC ;Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)) ;END CNT10;ARCHITECTURE bhv OF CNT10 ISSIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS (CLK)BEGINIF CLK'EVENT AND CLK = '1' THEN -- 边沿检测IF Q1 > 10 THENQ1 <= (OTHERS => '0'); -- 置零ELSEQ1 <= Q1 + 1 ; -- 加1END IF;END IF;END PROCESS ;Q <= Q1;END bhv;2.下面程序是参数可定制带计数使能异步复位计数器的VHDL描述,试补充完整N-bit Up Counter with Load, Count Enable, and-- Asynchronous Resetlibrary ieee;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;use IEEE.std_logic_arith.all;entity counter_n isgeneric(width : integer := 8);port(data : in std_logic_vector (width-1 downto 0);load, en, clk, rst : in std_logic;q : out std_logic_vector (width - 1downto 0));end counter_n;architecture behave of counter_n issignal count : std_logic_vector (width-1 downto 0);beginprocess(clk, rst)beginif rst = '1' thencount <=(others => ‘0’); ―― 清零elsif clk’event and clk = ‘1’then ―― 边沿检测if load = '1' thencount <= data;elsif en = '1' thencount <= count + 1;end if;end if;end process;q <= count;end behave;3.阅读下列VHDL程序,画出相应RTL图1.LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY three ISPORT(clk,d : IN STD_LOGIC;dout : OUT STD_LOGIC );END;ARCHITECTURE bhv OF three ISSIGNAL tmp: STD_LOGIC;BEGINP1: PROCESS(clk)BEGINIF rising_edge(clk) THENTmp <= d;dout <= tmp;END IF;END PROCESS P1;END bhv;2.LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY HAD ISPORT ( a : IN STD_LOGIC;b : IN STD_LOGIC;c : OUT STD_LOGIC;d : OUT STD_LOGIC);END ENTITY HAD;ARCHITECTURE fh1 OF HAD ISBEGINc <= NOT(a NAND b);d <= (a OR b)AND(a NAND b);END ARCHITECTURE fh1;VHDL程序改错仔细阅读下列程序,回答问题LIBRARY IEEE; -- 1 USE IEEE.STD_LOGIC_1164.ALL; -- 2 ENTITY LED7SEG IS -- 3 PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- 4CLK : IN STD_LOGIC;-- 5LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0));-- 6END LED7SEG; -- 7 ARCHITECTURE one OF LED7SEG IS -- 8 SIGNAL TMP : STD_LOGIC; -- 9 BEGIN -- 10 SYNC : PROCESS(CLK, A) -- 11BEGIN -- 12IF CLK'EVENT AND CLK = '1' THEN -- 13 TMP <= A; -- 14 END IF; -- 15 END PROCESS;-- 16OUTLED : PROCESS(TMP)-- 17BEGIN -- 18 CASE TMP IS -- 19WHEN "0000" => LED7S <= "0111111"; -- 20WHEN "0001" => LED7S <= "0000110"; -- 21WHEN "0010" => LED7S <= "1011011"; -- 22WHEN "0011" => LED7S <= "1001111"; -- 23WHEN "0100" => LED7S <= "1100110"; -- 24WHEN "0101" => LED7S <= "1101101"; -- 25WHEN "0110" => LED7S <= "1111101"; -- 26WHEN "0111" => LED7S <= "0000111"; -- 27WHEN "1000" => LED7S <= "1111111"; -- 28WHEN "1001" => LED7S <= "1101111"; -- 29END CASE; -- 30 END PROCESS;-- 31END one; -- 32 1.在程序中存在两处错误,试指出,并说明理由:第14行 TMP附值错误第29与30行之间,缺少WHEN OTHERS语句2.修改相应行的程序:错误1 行号:9程序改为:TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);错误2 行号: 29 程序改为:该语句后添加 WHEN OTHERS => LED7S <= "0000000";。