多功能数字钟课程设计报告材料
多功能电子时钟数字系统课程设计设计实验报告
多功能电子时钟数字系统课程设计设计实验报告数字系统课程设计设计实验报告———多功能电子时钟目录一、电子时钟的功能及工作介绍 01、本设计电子时钟具有的功能 02、本设计电子时钟工作介绍 0二、设计思路 0三、各模块具体介绍 (1)计数器模块: (1)控制模块: (3)四、仿真 (6)五、实验成果 (6)六、实验总结和感想 (6)1、实验错误排查和解决 (6)2、实验感想 (7)七、各模块代码 (8)1、计数器模块 (8)2、控制模块 (20)一、电子时钟的功能及工作介绍1、本设计电子时钟具有的功能1)具有显示时、分、秒的功能,能准确显示时间2)能够手动设置时间3)具有闹钟功能,可以设置闹钟的时间,然后再实际时间与设定时间相等是闹钟响,并有闹钟开关,可控制其是否响4)具有秒表功能,可以累计计时2、本设计电子时钟工作介绍此电子时钟开机后即会显示时间,其中后两位数码管显示秒,前两位数码管显示分,还可以通过拨盘开关S1来使得前两位数码管显示小时。
(开机后,按下按键1一次,会继续显示时间。
)此后,每按下按键1一次,会显示设置小时界面,按下按键1两次会显示设置分钟界面,按下按键1三次会显示闹钟设置小时界面,按下按键1四次会显示闹钟设置分钟界面,按下按键1五次会显示秒表界面。
而在每一个界面,按下按键2相应的位会开始跳动,在按下按键2时,跳动停止,此时按下按键3,即确认键,则会返回时间显示状态。
二、设计思路设计一个电子时钟,必然要用到计时器,而需要设置时间和闹钟,又需要控制器来控制系统所处的状态。
我们采用外部一个按键来切换系统的状态,用另一个按键来调整时间和启动秒表,再有一个按键来确认操作,并返回显示状态,继续等待命令。
在控制器中,需要接受外部信号,并给出信号给计时器,使其做出相应的动作。
多功能数字钟设计报告材料书
课程设计任务书学生姓名:戴维佳专业班级:信息sy1201班指导教师:刘可文工作单位:信息工程学院题目: 多功能数字钟的设计与实现初始条件:本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。
用数码管显示时间计数值。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。
2、技术要求:1)设计一个数字钟。
要求用六位数码管显示时间,格式为00:00:00。
2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。
3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。
4)设计提供连续触发脉冲的脉冲信号发生器,5)具有校时单元、闹钟单元和整点报时单元。
6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
3、查阅至少5篇参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4纸打印,图纸应符合绘图规范。
时间安排:1、 2013 年 3 月 18 日,布置课设具体实施计划与课程设计报告格式的要求说明。
2、 2013 年 3 月 25 日至 2013 年 4 月 15 日,方案选择和电路设计。
3、 2013 年 4 月 16 日至 2013 年 6 月 5 日,电路调试和设计说明书撰写。
4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日多功能数字钟的设计与实现目录摘要目前市场上提供的无论是机械钟还是石英钟在晚上无照明的情况下都是不可见的。
要知道当前的时间,必须先开灯,故较为不便。
现在市场上出现了这样一类的电子钟,它以六只LED数码管来显示时分秒,与传统的以指针显示秒的方式不同,违背了人们传统的习惯与理念,而且这类电子钟一般是采用大型显示器件,适用于银行、车站等公共场所。
数字钟实验报告5篇范文
数字钟实验报告5篇范文第一篇:数字钟实验报告数字钟实验报告班级:电气信息i类112班实验时间:实验地点:指导老师:目录一、实验目的-----------------3二、实验任务及要求--------3三、实验设计内容-----------3(一)、设计原理及思路3(二)、数字钟电路的设计--------------------------4(1)电路组成---------4(2)方案分析---------10(3)元器件清单------11四、电路制版与焊接---------11五、电路调试------------------12六、实验总结及心得体会---13七、组员分工安排------------19一、实验目的:1.学习了解数码管,译码器,及一些中规模器件的逻辑功能和使用方法。
2.学习和掌握数字钟的设计方法及工作原理。
熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法。
3.了解pcb板的制作流程及提高自己的动手能力。
4.学习使用protel软件进行电子电路的原理图设计、印制电路板设计。
5.初步学习手工焊接的方法以及电路的调试等。
使学生在学完了《数字电路》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,学会检查电路的故障与排除故障的一般方法锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。
二、实验任务及要求1.设计一个二十四小时制的数字钟,时、分、秒分别由二十四进制、六十进制、六十进制计数器来完成计时功能。
2.能够准确校时,可以分别对时、分进行单独校时,使其到达标准时间。
3.能够准确计时,以数字形式显示时、分,发光二极管显示秒。
4.根据经济原则选择元器件及参数;5..小组进行电路焊接、调试、测试电路性能,撰写整理设计说明书。
三、实验设计内容1、设计原理及思路 3.1数字钟的构成数字钟一般由振荡器、分频器、计数器、译码器、显示器、较时电路、报时电路等部分组成,这些都是数字电路中应用最广的基本电路3.2原理分析数字钟实际上是一个对标准频率(1hz)进行计数的计数电路。
多功能数字钟设计报告
题目:多功能数字钟设计报告姓名:学号:指导教师:年级与专业:报告完成时间:摘要随着人类科技文明的发展,人们对于时钟的要求在不断地提高。
时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。
在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。
本设计是基于Altera公司出品QuartusII软件,利用原理图和VHDL语言结合的方式完成的多功能数字钟,并最终以硬件实现。
该多功能数字钟的设计电路由24小时制的时、分、秒计时电路,快速校时电路,闹铃电路、整点报时电路以及显示电路等电路组成。
并且在完成了24小时计时、整点报时、闹铃以及快速校时的基础上,添加了万年历、12/24小时制切换和显示星期等新功能。
本设计吸收了硬件软件化的思想,大部分功能通过软件来实现,使电路简洁明了,系统稳定性大大提高。
本设计不仅成功实现了多功能数字钟的基本功能,还有有一定的创新功能。
关键词多功能数字钟、QuartusII、12/24计时显示、整点报时、闹铃、快速校时、万年历、星期显示目录摘要 (1)关键词 (1)第一章:设计要求 (4)1.1. 基本要求 (4)1.2. 扩展要求 (4)1.3. 创新设计 (4)第二章:方案论证 (5)2.1数字钟的基本组成 (5)2.2秒脉冲发生器 (5)2.3计数器 (7)2.4译码器与显示电路 (8)2.5校时电路 (11)2.6整点报时 (12)2.6 闹钟电路 (14)2.7迅想电路的硬件共享 (14)2.8星期功能 (14)2.9万年历功能 (15)2.10星期与万年历的快速脉冲法校时 (15)2.11 12/24小时制切换功能 (15)2.12显示切换功能 (15)第三章:单元电路设计 (17)3.1秒脉冲发生器 (17)3.2 计数器电路 (18)3.3 校时电路 (20)3.4 整点报时 (21)3.5 闹钟电路 (21)3.6.星期功能 (23)3.7 12/24小时进制计时转换 (23)3.8万年历 (24)3.9 LED显示电路 (25)第四章:软件设计 (27)4.1 显示切换 (27)4.2 万年历、星期校时位切换及闹钟使能 (27)4.3 计时、校时电路 (33)4.4闹铃、整点报时 (35)4.5万年历 (35)4.6星期功能 (39)4.6 12/24小时制切换 (40)第五章:系统测试 (42)第六章:总结 (44)6.1总结 (44)6.2改进 (44)参考文献 (45)附录 (46)第一章:设计要求1.1.基本要求●设计一个具有时、分、秒计时的数字钟电路,计时采用24小时制;●数字钟用6位LED数码管显示时、分、秒的计时值;●计时精度:每天误差不超过±1秒。
多功能数字时钟设计报告
多功能数字时钟设计报告目录一.设计任务和要求 (2)二.设计的方案的选择与论证 (2)三.电路的设计计算与分析 (4)四.总结及心得 (12)五.附录 (14)六.参考文献 (15)一设计任务和要求:实现24小时的时钟显示、校准、整点报时、闹铃等功能。
具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~60,秒0~60)。
(2)校时功能:当刚接通电源或数字时钟走时有偏差,能动手对“时”、“分”、“秒”进行校时。
(3)整点报时:当时钟计时到整点时,能进行整点报时。
(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行.二设计的方案的选择与论证2.1.总体设计方案与思路系统用十进制的计数器分别构成一个二十四、两个六十进制的计数器,串联连接计数器、并加秒脉冲,使“秒”、“分”、“时”进位,从而数字时钟的显示显示功能;系统应用校时电路实现时钟的校时功能;系统应用译码电路将整点识别出来,同时进行报时;系统用寄存器先把闹铃响的时间存储起来,再用数值比较器,将寄存器存储的时间与实际时间点比较,如果相等,则闹铃工作,从而实现了时钟的闹铃功能。
多功能数字时钟的电路原理结构图如下图所示:图1.多功能数字时钟的电路原理结构图上图中:开关S2的作用,当S2置于左侧时数字时钟正常显示时间,当置于右侧时,数字时钟进行调时,此时若寄存电路的开关合闭则进行设置闹铃时间.2.2.方案的具体实现由于本电路的复杂性,电路图多的特点,本方案采用了子电路与主电路相结合的方案,这样易于调试和修改。
另外采用了总线的电路连线方式使电路图简洁美观。
1.总体电路的设计总体电路如图所示:DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUESONALERT600 Hz总体电路是由小时计时电路、分钟计时电路、秒钟计时电路、校时选择电路、整点译码电路、闹钟的寄存与比较电路、和由555定时器构成的秒脉冲电路等七个子电路模块连接在一起,和外接开关和数码管构成。
多功能数字钟(课程设计版)
》题目: 多功能数字钟电路设计器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。
2.秒、分为00-59六十进制计数器。
:3.时为00-23二十四进制计数器。
4.可手动校正:能分别进行秒、分、时的校正。
只要将开关置于手动位置。
可分别对秒、分、时进行连续脉冲输入调整。
5.整点报时。
整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
时间安排:指导教师签名:年月日、系主任(或责任教师)签名:年月日索引摘要........................................................ 错误!未定义书签。
Abstract .................................................... 错误!未定义书签。
—1系统原理框图.............................................. 错误!未定义书签。
2方案设计与论证............................................ 错误!未定义书签。
时间脉冲产生电路........................................ 错误!未定义书签。
分频器电路.............................................. 错误!未定义书签。
时间计数器电路.......................................... 错误!未定义书签。
译码驱动及显示单元电路.................................. 错误!未定义书签。
电子综合设计多功能数字钟报告
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
多功能数字电子钟设计报告
多功能数字电子钟设计报告本文将介绍一个多功能数字电子钟的设计报告。
这个钟具有多种功能,可以显示时间,日期,室内温度和湿度,还可以设置闹钟。
这个钟被设计成简单易用,具有时尚外观和实用性。
硬件设计这个数字电子钟由以下主要部件组成:1. 微控制器:使用STM32F103微控制器进行控制和处理2. 显示屏:采用高清彩色TFT屏幕,尺寸为3.5英寸3. 传感器:使用DHT11温湿度传感器,可以实时监测室内的温度和湿度4. 时钟模块:使用DS1302 RTC(实时时钟)模块确保精准的时间显示5. 按键:包括上、下、左、右、确定和返回六个按键,方便用户设置和控制软件设计这个数字电子钟的软件设计采用了嵌入式设计的方法,代码分为三个主要部分:1. 时钟控制:这个数字电子钟确保了精准的时间显示,使用DS1302 RTC模块,可以确保时钟精度误差不超过±2秒/天。
时钟控制部分还包括时钟校准和闹钟设置。
2. 屏幕控制:这个数字电子钟使用3.5英寸TFT高清彩色屏幕,可以实现时钟、日期、温湿度和闹钟的显示。
屏幕控制部分可以显示多种信息,具有时尚的外观和设计。
3. 传感器控制:使用DHT11温湿度传感器监测室内环境。
传感器控制部分可以实现实时监测温度和湿度,并在屏幕上显示当前的室内温度和湿度。
功能设计这个数字电子钟具有以下主要功能:1. 时间显示:可以精准的显示当前的时间,包括小时、分钟和秒钟。
2. 日期显示:可以显示当前的日期,包括月份、日期和星期几。
3. 温湿度监测:可以实时监测室内的温度和湿度,并在屏幕上显示当前的数值。
4. 闹钟设置:可以设置多个闹钟,并在设定的时间开始响铃。
闹钟响铃时可以选择静音或自动关闭。
5. 操作简便:采用方便简单的按键操作设计,方便用户使用。
总结这个数字电子钟设计具有多种功能,采用了高清彩色TFT 屏幕,集精准时间、日期信息、温湿度信息便利的闹钟设置于一身,是一款可以满足日常生活需求的设计。
数字钟课程设计报告材料
数电课程设计报告1、前言。
22、设计任务。
23、方案论证。
34、系统结构。
44.1系统结构框图。
44.2系统各方框图的作用。
55、整机电路设计。
65.1总电路图。
6 5.2芯片管脚图。
65.3整机电路元器件表。
76、整机电路调试。
86.1调试步骤。
86.2调试中发现的问题与解决办法。
97、参考文献。
98、心得体会。
10一、前言数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。
数字钟适用于自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。
它是由数子钟电路、定时电路、放大执行电路、电源电路组成。
为了简化电路结构,数字钟电路与定时电路之间的连接采用直接译码技术。
具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。
二、设计任务1.显示时、分、秒。
采用24小时制。
2、制作、调试出一个具有直流电源、简易信号源及用来计“时”“分”“秒”的数字钟系统3、具有校时功能,可以对小时和分单独校时三、方案论证方案一:首先构成一个CB555定时器产生震荡周期为一秒的标准秒脉冲,由74LS161采用清零法分别组成六十进制的秒计数器、六十进制分计数器、二十四进制时计数器。
使用CB555定时器的输出作为秒记数器的CP 脉冲,把秒记数器地进位输出作为分记数器地CP脉冲,分记数器的进位输出作为时记数器的CP脉冲。
使用74LS48为驱动器,共阴极数码管作为显示器。
方案二:首先构成一个由32768Hz的石英晶体振荡器和由CD4518构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,由CD4518采用清零法分别组成六十进制的秒计数器、六十进制分计数器、二十四进制时计数器。
多功能数字钟的设计报告
多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。
二、功能
1、设置时间和日期的功能。
2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。
3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。
4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。
5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。
三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。
1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。
2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。
3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。
数字钟课程设计报告
数字钟课程设计报告前言:随着科技的不断进步,数字化已经成为了各个领域的主流趋势。
数字技术也在教育领域得到广泛应用。
数字化教育为学生提供了更好的学习方式和体验,同时也给教育工作者带来了更多的创新空间。
本文将围绕数字化教育,探讨数字钟课程设计报告。
数字钟的设计:数字钟是一个数字化的学习工具,在各学科的教学中都得到了广泛应用。
数字钟的设计可以遵循以下步骤:1.确定教学目标:数字钟的设计必须遵循教学目标,以便为教师和学生提供最佳的学习体验,使教学更加生动有趣。
2.选择数字钟的类型:根据教学目标和特点,可以选择不同类型的数字钟,例如计时器、倒计时器、时间轴等。
3.选择数字钟的功能:数字钟的功能会影响到教学效果,因此需要根据教学目标和教学特性选择数字钟的功能。
4.美化数字钟的界面:美化数字钟的界面能够增加学生的学习兴趣,提高教学效果,从而实现教学目标。
数字钟的应用:数字钟是一种数字化教学工具,可以在各个学科的教学中得到广泛应用。
下面以数学为例,详细说明数字钟在数学教学中的应用。
数字钟可以用于教学观念的讲解。
在数学教学中,学习时间的观念非常重要。
使用数字钟可以帮助学生了解时间的本质,为学生认识到时间的重要性打下基础。
数字钟也可以用于学习数学运算。
例如,教师可以设置数字钟来进行加减乘除的计算,帮助学生提高计算速度和精确度。
数字钟还可以用于检查作业。
教师可以在数字钟上设置一个时间限制,让学生在规定时间内完成作业。
如果学生没有完成作业,数字钟将会提醒他们完成。
数字钟的优势:数字化教育工具的吸引力取决于它们的功能和灵活性。
数字钟虽然看起来简单,但它的实际用途非常重要。
它能够帮助教师更好地了解学生的学习情况,同时也能够更好地帮助学生提升学习效果。
数字钟优势如下:1、灵活性:数字钟可以根据教学需要进行设计和选择,可以在不同的学科中得到广泛应用。
2、互动性:数字钟可以与学生互动式地使用。
通过使用数字钟可以促进学生互动,提高学生的学习效果,帮助学生主动掌握学习内容。
多功能数字钟课程设计报告
电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。
☆学习数字系统设计中自顶向下的设计方法。
☆加深利用EDA技术实现数字系统的理解。
三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。
☆基本要求1、能够正确的连线及下载。
2、能够完成以秒为最小及时单位的时钟设计。
3、设计完成后的时钟能够正常调整时、分、秒。
三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现一天24h的累计。
译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。
整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。
校时电路是来对“时、分、秒”显示数字进行校对调整。
其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。
实现这两种模数的计数器采用中规模集成计数器74LS90构成。
●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。
多功能数字钟设计实验报告
多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。
然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。
本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。
二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。
为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。
2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。
我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。
3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。
用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。
4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。
通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。
5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。
三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。
2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。
3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。
包括时间显示、日期显示、闹钟功能、温湿度显示等。
4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。
可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。
5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。
例如,优化显示效果、增加功能扩展等。
多功能数字钟设计报告
目录一、设计内容简介 (4)1.设计目的 (4)2.设计要求 (4)3.设计方案 (5)二、设计原理 (4)1.基本工作原理 (5)2.分部电路原理 (4)三、电路设计及其电路图 (5)1.秒信号发生器 (7)2.计时电路 (7)3.清零电路 (7)4.校分电路 (7)5.报时电路 (10)6.总电路图 (7)五、调试中遇到的问题及解决方法 (11)六、改进和提高 (11)七、体会与总结 (11)七、参考文献 (12)八、附录 (12)1.电路总图 (6)2.元件清单 (6)3.芯片引脚图 (6)一、设计内容简介1.设计目的我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.。
从而对理论基础知识有更深一步的理解和掌握。
2.设计要求(1)设计一个脉冲发生电路,为计时和报时提供1HZ,1KHZ,2KHZ脉冲信号(2)计一个计时电路,完成0分00秒~9分59秒的计时功能。
(3)设计清零电路,开机可以自动清零,可以手动实现清零功能。
(4)设计校分电路,按下开关,可进行校分。
(5)设计报时电路,从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz)(6)系统级联调试,将以上电路进行级联完成计时器的所有功能。
(7)排线尽量美观,贴近板面走线,布线布局应规范整齐美观3.设计方案数字钟的设计框图下图所示,数字钟由基本频率源(振荡器)、分频器、记数器、译码显示驱动器、数字显示器、校准电路、清零电路、报时电路八部分组成。
二、设计原理1.基本工作原理本数字钟是一个将“分十位”“秒十位”“秒个位”显示于人的视觉器官的计时装置。
多功能数字钟设计实验报告
班级:生物医学工程141班姓名:刘玉奔学号:6103413018 设计性实验项目名称多功能数字钟(一)实验目的1:学习综合且较复杂数字系统设计;2:学习多层次、多模块数字系统设计;3:学习数码管扫描显示电路设计;(二)设计要求完成设计、仿真、调试、下载、硬件测试等环节,在型EDA实验装置上由简单到复杂实现多功能数字钟功能,具体要求如下:1、数码管扫描显示时、分、秒;2、具有正常计时和调时、调分、调秒等校时功能;3、经设置应具有整点报时功能;(三)主要仪器设备1、微机1台2、QuartusII集成开发软件1套3、EDA实验装置1套(四)实验步骤1:确定多功能数字钟的设计模块2:分模块原理图与代码设计3:各模块仿真核实4:实行综合设计5:综合设计仿真6:下载验证7:总结归纳(五)实验数据包括以下几个模块:多路分频(VHDL生成),模60计数器(秒),模60计数器(分),模24计数器(时),模7计数器(日)8个数码管显示(7路有效数据),调时,准点报时A:原理图:图(1):包括分(counter60),时(counter24),调时(adjusttime),日(zscount7)模块图(2):包括多路分频(fenpin),秒(count60),数码管显示(zsdisplay),准点报时(准点报时),控制端(COUNTR),时钟源(1KHz),调分(ADHM),调时(ADJH),调日(ADJD),检测输出(DAY,HH,HL,MH,ML,SH,SL),段显(SEG[6..0]),片选(CS[7..0]),报时(BAOSHI)。
图(3)zsdisplay内部结构原理图:B:各模块仿真:多路分频:输入CLK=1KHz,输出CLK1Hz,CLK2Hz,CLK100Hz,CLK500Hz。
解释:CLK为接入的系统时钟,CLK1Hz用于调分,调日,CLK2Hz用于调时,CLK100Hz,CLK500Hz用于低高音的准点报时。
多功能数字钟实验报告材料
多功能数字钟实验报告基地班级:设计人:设计时间:指导老师:目录多功能数字钟实验报告............................................ - 1 -一、实验任务及要求....................................... - 3 -1.基本功能............................................. - 3 -2.拓展功能............................................. - 3 -二、实验条件............................................. - 4 -1.软件................................................. - 4 -2.开发板............................................... - 4 -三、电路的设计过程....................................... - 4 -1.工作原理与组成框图................................. - 4 -2.单元电路设计....................................... - 5 - 模块(1)计时与调时电路............................. - 7 -模块(2)12-24小时转换电路:...................... - 11 -模块(3)显示电路:................................ - 12 -模块(4)报时电路:................................ - 15 -模块(5)闹钟电路:................................ - 18 - 3.总体仿真波形...................................... - 25 -四、调试过程............................................ - 29 -1. 调试步骤............................................ - 31 -2. 调试中遇到的问题及解决办法.......................... - 31 -3. 最后观察到的实验结果................................ - 31 -五、实验的收获、体会与改进建议.......................... - 32 -一、实验任务及要求用FPGA器件和EDA技术实现多功能数字钟的设计1.基本功能以数字形式显示时、分、秒的时间;小时计数器为同步24进制;要求手动校时、校分和自动校时、校分。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子技术课程设计报告书课题名称姓名学号院、系、部专业指导教师2016年6月12日一、设计任务及要求:用中小规模集成芯片设计并制作多功能数字钟,具体要求如下:1、准确及时,以数字形式显示时(00~23)、分(00~59)、秒(00~59)的时间。
2、具有校时功能。
指导教师签名:2016年6月日二、指导教师评语:指导教师签名:2016年6月日三、成绩指导教师签名:2016年6月日多功能数字钟课程设计报告1 设计目的一、设计原理与技术方法:包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明;软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。
1、电路工作原理分析与原理图数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。
由于标准的1Hz 时间信号必须做到准确稳定,所以通常使用输出频率稳定的石英晶体振荡器电路构成数字钟的振源。
又由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。
因此一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。
石英晶体振荡器产生的信号经过分频器得到秒脉冲后,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。
由以上分析可得到原理框图如下图图1 实验原理框图2、元器件选择与参数计算(1)晶体振荡电路:产生秒脉冲既可以采用555脉冲发生电路也可以采用晶振脉冲发生电路。
若由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源,可使555与RC 组成多谐振荡器,产生频率f=1kHz的方波信号,再通过分频则可得到秒脉冲信号。
晶体振荡器电路则可以给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
相比二者的稳定性,晶振电路比555电路能够产生更加稳定的脉冲,数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。
振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,所以最后决定采用晶振脉冲发生电路。
石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。
所以秒脉冲晶体振荡选用32768Hz的晶振,该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。
从有关手册中,可查得C1、C2均为20pF。
当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。
由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为20MΩ。
(2)分频器电路:分频器电路将32768Hz的高频方波信号经32768(152)次分频后得到1Hz 的方波信号供秒计数器进行计数。
分频器实际上也就是计数器。
该电路可通过CD4060与双D触发器74LS74共同实现。
(3)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。
计数器可以使用十进制的74LS160。
(4)译码驱动电路:译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
译码器可以使用CD4511。
(5)校时电路:可以通过基本的门器件、电阻与开关实现。
由设计的电路图可选择与非门74LS00。
(6)整点报时电路:一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波。
3、电路调试方法与结果说明(1)电路调试方法①数码管的调试:可以用万用表的负极接数码管的3或8脚,正极依次接数码管剩余的管脚所接电阻的另一端,并将万用表调至测发光二极管档位,从而测试数码管的显示是否正确。
②“时”“分”“秒”电路的调试:将“时”“分”“秒”电路连接完成后,可以用函数信号发生器产生的1Hz方波信号分别作为“时”、“分”、“秒”的个位74LS160的计数脉冲,从而测试“时”是否为24进制,“分”和“秒”是否为60进制。
③校时电路的调试:先将电路外接用函数信号发生器产生的2Hz方波信号,再分别通过校时、校分电路开关的断开、闭合以及开关闭合后电路的工作情况判断电路的校时、校分功能是否正确。
④秒脉冲产生电路的调试:将电路产生的秒时间脉冲接入示波器,观察并计算电路是否产生1Hz方波信号。
(2)结果说明①数码管的调试:当正极依次接1、2、4、5、7、9、10管脚时,数码管依次是G、F、A、B、C、D、E亮。
②“时”“分”“秒”电路的调试:“时”为24进制(从“00”到“23”),“分”和“秒”都为60进制(从“00”到“59”)。
③校时电路的调试:开关断开时电路处于正常工作状态,开关闭合时电路处于校时、校分状态。
④秒脉冲产生电路的调试:电路产生1Hz方波信号。
4、软件设计说明书与流程图(1)秒脉冲产生电路晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。
由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。
晶体XTAL的频率选为32768HZ。
该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。
从有关手册中,可查得C1、C2均为20pF。
当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。
由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为22MΩ。
较高的反馈电阻有利于提高振荡频率的稳定性。
通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz 的秒信号输入,需要对振荡器的输出信号进行分频。
通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。
本实验中采用CD4060来构成分频电路。
管脚图见图2。
CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便。
CD4060计数为14级2进制计数器,可以将32768Hz的信号分频为2Hz,再经过74LS74即可获得1Hz的方波信号。
原理电路图如图3所示,图4为仿真电路图。
图2 D4060管脚图图3 CD4060秒脉冲振荡发生器图 4 产生1Hz时间脉冲的电路图(2)时间计数器电路①“秒”“分”电路根据题目要求,“秒”和“分”都是60进制的,而且是从“00”到“59”,可以使用十进制的74LS160来实现这个功能。
首先将两片74LS160通过串行进位方式接成百进制计数器,即分别将“秒”和“分”个位的进位输出信号经非门作为“秒”和“分”十位的计数输入脉冲。
当计数器从全0状态开始计数,计入59个脉冲时,经与非门译码产生低电平信号立刻将两片74LS160同时置零,于是便得到了60进制的计数器。
74160的逻辑功能示意图、引脚图及功能表如下所示。
图5 a ) 74160逻辑功能示意图 b ) 74160引脚图图6 74160逻辑功能表②“时”电路根据题目要求,“时”是24进制的,而且是从“00”到“23”,可以使用十进制的74LS160来实现这个功能。
首先将两片74LS160通过串行进位方式接成百进制计数器,当计数器从全0状态开始计数,计入23个脉冲时,经与非门译码产生低电平信号立刻将两片74LS160同时置零,于是便得到了24进制的计数器。
(3)译码驱动电路计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用CD4511作为显示译码电路,选用LED数码管作为显示单元电路。
由于CD4511是输出高电平有效,所以选用七段共阴极LED数码管。
若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,便可进行不同数字的显示。
“秒”用数码管显示如图7所示。
图7 “秒”的译码及驱动显示电路图(4)校时电路数字种启动后,每当数字钟显示与实际时间不符合,需要根据标准时间进行校时。
通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。
校“秒”时,采用等待校时。
校“分”、“时”的原理比较简单,采用加速校时。
对校时电路的要求是:1.在小时校正时不影响分和秒的正常计数。
2.在分校正时不影响秒和小时的正常计数。
当开关断开时,因为校正信号和0相与的输出为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关闭合时,情况正好与上述相反,这时校时电路处于校时状态。
与非门可选74LS00,非门则可用与非门2个输入端并接来代替从而节省芯片。
校时电路图见图8。
8 校时电路图(5)整点报时电路一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒。
其作用方式是发出连续的或有节奏的音频声波。
当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与。
电路在整点前6秒钟内开始整点报时,即当时间在59分54秒到59分59秒期间时,报时电路产生报时控制信号,控制小喇叭产生低音;当时间为00分00秒时,报时电路产生报时控制信号,控制小喇叭产生高音。
5、软件调试方法与运行结果说明(1)软件调试方法由于仿真时晶振不能正常工作,所以通过外接1KHz方波信号来调试电路。
“时”“分”“秒”电路的调试:“时”为24进制(从“00”到“23”),“分”和“秒”都为60进制(从“00”到“59”)。
校时电路的调试:可以通过校时、校分电路的开关来校对时间,并判断电路的“时”“分”“秒”的进制是否正确。
开关断开时电路处于正常工作状态,开关闭合时电路处于校时、校分状态。
(2)运行结果说明数码管的各部分可以正确显示,电路的“时”为24进制(从“00”到“23”),“分”和“秒”都为60进制(从“00”到“59”)。
开关断开时电路处于正常工作状态,开关闭合时电路处于校时、校分状态,通过控制开关及输入信号可以达到校时功能。
三、设计体会与建议1.设计体会我觉得此次的数字钟设计实验,电路原理相对来比较简单,但电路图比较复杂,所用芯片比较多,相应的连线也多,这就给焊接电路增加了较大的难度。
不过通过此次实验,使我更进一步地熟悉了芯片的结构,掌握了实验中所用各芯片的工作原理和其具体的使用方法,同时还接触到了一些新认识的芯片,增长了见识。
这次课程设计是一次难得的锻炼机会,让我们能够充分运用所学过的理论知识和自己动手实际操作的能力,另外还让我们学习查找资料的方法,以及自己设计电路、焊接电路、分析解决电路存在的问题的能力。