EDA选择题
eda+试题3
一单项选择题(每题1分,共15分)1、在一个verilogHDL描述的模块中,若有多个always块,则这些always块应按照怎样的顺序执行?(B)A 顺行B 并行C 顺行或并行D 串行2、IP核在EDA技术的应用和开发中具有十分重要的地位,此处的IP指的是(A)A 知识产权B 互联网协议C 网络地址D 连接目的的地址3、下面器件中属于易失性器件的是(D)A 熔丝(Fuse)或反熔丝开关B EEPROMC 闪速存储器(Flash Memory)D 基于SRAM工艺的FPGA4、FPGA是基于哪种结构的可编程逻辑器件(A)A LUT结构B 乘积项结构C PLD结构D OTM结构5、CPLD是基于哪种结构的可编程逻辑器件(B)A LUT结构B 乘积项结构C PLD结构D OTM结构6、Xilinx公司开发的在线片内逻辑分析工具是(A)A ChipScope ProB LogiBLOXC FloorplannerD imPACT r7、Xilinx公司推出的嵌入式开发套件是(A)A EDKB XPSC SDKD GNU8、FPGA Advantage是哪家公司推出的FPGA全流程设计工具(B)A XilinxB Mentor GraphicsC AldecD Synplicity9、关于FPGA,下面说法错的是(B)A FPGA在使用时,除了逻辑设计外,还要进行延时设计B FPGA实现同一功能可以有不同的方案,但其延时都是一样的C FPGA相比较于CPLD而言,逻辑单元小,连线关系复杂D FPGA相比较于CPLD而言,更适合进行大规模的逻辑电路设计10、关于系统仿真,下面描述正确的是(A)A 通过系统仿真,可以得知所设计系统在功能,延时等方面是否满足设计要求,并为系统的修改,优化提供依据B 时序仿真是对综合后的网表进行的仿真,只验证设计模块的基本逻辑功能C 功能仿真需要利用FPGA芯片布局布线后的信息D ModelSim不支持VHDL和VerilogHDL的混合仿真11、关于ISE Foundation提供的内嵌的综合工具,下面说法错误的是(D)A Xilinx公司提供的综合工具是XSTB 在综合前,可以打开综合属性设置对话框,设置与综合的全局目标和整体策略相关的参数C 该综合器在综合后,可以提供综合报告,综合产生的寄存器传输级模块符号和模块内部逻辑结构等信息D 该综合工具实现了将设计映射到器件结构上,进行布局布线,达到在选定器件上实现设计的目的12、关于设计输入,下面描述错误的是(D)A 设计输入是设计者将所设计的系统或电路以EDA开发软件要求的某种形式表示出来,并送入计算机的过程B 可以采用原理图、HDL、IP核、状态转移图等方式向EDA开发软件输入设计者的设计意图C 可以采用层次化设计方法,分模块、分层次地进行设计描述D 是将系统设计得到的程序化数据,按一定的格式输入,装入一个或多个PLD 的编程存储单元,定义内部模块的逻辑功能以及它们的相互连接关系13、综合是EDA设计流程的关键步骤,下面对综合的描述中错误的是(B)A 综合就是将描述电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件B 综合是存软件的转化过程,与器件硬件结构无关C 综合科理解为,将软件描述与硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的D 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束14、以基本方式单独使用Modelsim进行仿真的步骤是(A)A 建立仿真库-编译源代码-启动仿真器-执行仿真B 启动仿真器-建立仿真库-编译源代码-执行仿真C 建立工程-编译并导入测试文件-添加源文件-执行仿真D 建立工程-添加源文件-编译并导入测试文件-执行仿真15、关于JTAG边界扫描测试,下面说法错误的是(D)A JTAG规范可以用于芯片的测试与配置B JTAG接口由4个必需的信号,以及1个可选信号构成C JTAG在芯片I/O端上增加移位寄存器,并将这些寄存器连接起来,配合时钟复位,测试方式选择以及扫描输入和输出端口,形成边界扫描通道D JTAG边界扫描测试需要物理探针配合捕捉数据二、名词解释(每题2分,共6分)16、PLD 可编程逻辑器件17、FPGA 现场可编程门阵列18、CPLD 复杂可编程逻辑器件三、判断改错题(每题4分,共16分)19、自顶向下设计思路是首先确定可用的元器件,然后根据这些器件进行逻辑设计,完成各模块设计后进行连接,在形成系统,最后经调剂,测量看整个系统是否达到规定的性能指标。
EDA习题集
《电子设计自动化(EDA)》习题集第一章、EDA技术概述一、填空题1、一般把EDA技术的发展分为 、、 三个阶段。
2、EDA设计流程包括 、 、、 四个步骤。
3、EDA的设计验证包括 、 、 三个过程。
4、EDA的设计输入包括 、 、 。
5、当前最流行的并成为IEEE标准的硬件描述语言包括和 。
6、将硬件描述语言转化为硬件电路的重要工具软件称为 。
二、单项选择题1、VHDL语言属于 描述语言。
A.普通硬件 B. 行为 C. 高级D. 低级2、基于硬件描述语言HDL的数字系统设计目前最常用的设计方法为A. 自底向上B. 自顶向下C. 积木式D. 顶层3、在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为A.仿真器 B. 综合器 C. 适配器 D.下载器4、在EDA工具中,能完成目标系统器件上布局软件称为A.仿真器 B. 综合器 C. 适配器 D.下载器 第2章、大规模可编程逻辑器件 一、填空题1、集成度是集成电路一项重要的指标,可编程逻辑器件按集成密度可分为 和 两类。
2、可编程逻辑器件的编程方式可分为 和 两类。
3、基于EPROM、E2PROM和快闪存储器件的可编程器件,在系统断电后编程信息 。
4、基于SRAM结构的可编程器件,在系统断电后编程信息 。
5、CPLD器件中至少包括 、 、 三种结构。
6、FPGA的三种可编程电路分别是 、、 三种结构。
7、根据逻辑功能块的大小不同,可将FPGA分为和 两类;据FPGA内部连线结构的不同,可将FPGA分为 和 两类;据FPGA采用的开关元件不同,可将FPGA分为 和 两类.8、目前常见的可编程逻辑器件的编程和配置工艺包括基于 、基于 和基于 三种编程工艺。
二、 单项选择题1、在下列可编程逻辑器件中,不属于高密度可编程逻辑器件的是A. EPLDB. CPLDC. FPGAD. PAL2、在下列可编程逻辑器件中,属于易失性器件的是A. EPLDB. CPLDC. FPGAD. PAL3、在自顶向下的设计过程中,描述器件总功能的模块一般称为A.底层设计 B. 顶层设计 C. 完整设计 D. 全面设计4、边界扫描测试技术主要解决 的测试问题A.印制电路板 B. 数字系统 C. 芯片 D. 微处理器 三、 简答题1、CPLD和FPGA有什么差异?在实际应用中各有什么特点?第3章、VHDL编程基础一、填空题1、VHDL设计实体的基本结构由 、、 、 和 等部分组成。
(完整版)EDA期末考试题1
1.一个项目的输入输出端口是定义在( A )1-5 ACDCD 6-10 CCACAA. 实体中;.B. 结构体中;C. 任何位置;D. 进程中。
2. MAXPLUS2中编译VHDL源程序时要求( C )A. 文件名和实体可以不同名;B. 文件名和实体名无关;C. 文件名和实体名要相同;D. 不确定。
3. VHDL语言中变量定义的位置是(D )A. 实体中中任何位置;B. 实体中特定位置;C. 结构体中任何位置;D. 结构体中特定位置。
4.可以不必声明而直接引用的数据类型是(C )A. STD_LOGIC ;B. STD_LOGIC_VECTOR;C. BIT;D. ARRAY。
5. MAXPLUS2不支持的输入方式是(D )A 文本输入;.B. 原理图输入;C. 波形输入;D. 矢量输入。
6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C )A. FPGA全称为复杂可编程逻辑器件;B. FPGA是基于乘积项结构的可编程逻辑器件;C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。
7.下面不属于顺序语句的是( C )A. IF语句;B. LOOP语句;C. PROCESS语句;D. CASE语句。
8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是( A )A. 器件外部特性;B. 器件的内部功能;C. 器件的综合约束;D. 器件外部特性与内部功能。
9. 进程中的信号赋值语句,其信号更新是( C )A. 按顺序完成;B. 比变量更快完成;C. 在进程的最后完成;D. 都不对。
10. 嵌套使用IF语句,其综合结果可实现:(A )A. 带优先级且条件相与的逻辑电路;B. 条件相或的逻辑电路;C. 三态控制电路;D. 双向控制电路。
eda期末考试试题及答案
eda期末考试试题及答案EDA期末考试试题及答案一、选择题(每题2分,共20分)1. EDA(电子设计自动化)主要应用于以下哪个领域?A. 机械设计B. 建筑设计C. 电子电路设计D. 软件开发答案:C2. 在EDA软件中,以下哪个不是常见的设计流程?A. 原理图设计B. 电路仿真C. 手动布线D. 封装设计答案:C3. 下列哪个不是EDA工具的组成部分?A. 原理图编辑器B. PCB布局工具C. 3D建模软件D. 仿真分析工具答案:C4. 在EDA设计中,PCB指的是什么?A. 印刷电路板B. 个人计算机C. 程序控制板D. 功率控制板答案:A5. 以下哪个是EDA设计中常用的文件格式?A. .txtB. .pdfC. .schD. .jpg答案:C...(此处省略其他选择题)二、简答题(每题10分,共30分)1. 简述EDA设计流程的主要步骤。
答案:EDA设计流程通常包括原理图设计、电路仿真、PCB布局、布线、封装设计、测试与验证等步骤。
2. 解释什么是PCB布线,并说明其重要性。
答案:PCB布线是指在印刷电路板上将电子元件的引脚通过导电路径连接起来的过程。
布线的重要性在于它直接影响电路的性能、可靠性和生产成本。
3. 描述电路仿真在EDA设计中的作用。
答案:电路仿真在EDA设计中用于模拟电路在不同条件下的行为,帮助设计者预测电路的性能,优化设计,并在实际制造之前发现潜在的问题。
三、计算题(每题15分,共30分)1. 给定一个简单的RC电路,计算其时间常数τ。
答案:时间常数τ是电容C和电阻R的乘积,即τ = R * C。
2. 假设一个电路的输入信号频率为1kHz,计算其周期T。
答案:周期T是频率f的倒数,即T = 1/f = 1/1000Hz = 1ms。
四、设计题(20分)设计一个简单的放大器电路,并使用EDA工具绘制其原理图。
答案:(此处应有原理图,但无法提供图像,故省略)五、论述题(20分)论述在现代电子设计中,EDA工具的重要性及其对设计流程的影响。
EDA考试复习题目全集(1)
《 EDA技术与项目训练》选择题1. 一个项目的输入输出端口是定义在 A 。
A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。
A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是A。
A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。
A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. 关于1987标准的VHDL语言中,标识符描述正确的是 A 。
A. 必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是 B 。
A. 下划线可以连用B. 下划线不能连用C. 不能使用下划线D. 可以使用任何字符8. 符合1987VHDL标准的标识符是 A 。
A. A_2B. A+2C. 2AD. 229. 符合1987VHDL标准的标识符是 A 。
A. a_2_3B. a_____2C. 2_2_aD. 2a10. 不符合1987VHDL标准的标识符是 C 。
A. a_1_inB. a_in_2C. 2_aD. asd_111. 不符合1987VHDL标准的标识符是 D 。
A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是 D 。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置13. VHDL语言中信号定义的位置是 D 。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置14. 变量是局部量可以写在 B 。
A. 实体中B. 进程中C. 线粒体D. 种子体中15. 变量和信号的描述正确的是 A 。
EDA期末必考选择题及答案
老师发话了。
EDA考试题目:大题第一题考奇数分频,名称解释考:SOPC,期中考的TTL和coms的连接方式不考大题第一题考奇数分频,名称解释考:SOPC,期中考的TTL和coms的连接方式不考1、IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为____A___。
A .软IP B.固IP C.硬IP D.都不是2、综合是EDA设计流程的关键步骤,在下面对综合的描述中,___D_是错误的。
A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
3、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是_C。
A.FPGA是基于乘积项结构的可编程逻辑器件;B.FPGA是全称为复杂可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。
4、进程中的变量赋值语句,其变量更新是___A__。
A.立即完成;B.按顺序完成;C.在进程的最后完成;D.都不对。
5、VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述__D__。
A.器件外部特性;B.器件的综合约束;C.器件外部特性与内部功能;D.器件的内部功能。
6、不完整的IF语句,其综合结果可实现_A___。
A. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路7、在VHDL语言中,下列对时钟边沿检测描述中,错误的是___D____。
EDA考试题题库及答案
EDA考试题题库及答案一、选择题1.一个项目的输入输出端口是定义在(A)A、实体中;B、结构体中;C、任何位置;D、进程中。
2.QuartusII中编译VHDL源程序时要求(C)A、文件名和实体可以不同名;B、文件名和实体名无关;C、文件名和实体名要相同;D、不确定。
3.VHDL语言中变量定义的位置是(D)A、实体中中任何位置;B、实体中特定位置;C、结构体中任何位置;D、结构体中特定位置。
4.可以不必声明而直接引用的数据类型是(C)A、STD_LOGIC;B、STD_LOGIC_VECTOR;C、BIT;D、ARRAY。
5.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是(C)A、FPGA全称为复杂可编程逻辑器件;B、FPGA是基于乘积项结构的可编程逻辑器件;C、基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D、在Altera公司生产的器件中,MAX7000系列属FPGA结构。
6.下面不属于顺序语句的是(C)A、IF语句;B、LOOP语句;C、PROCESS语句;D、CASE语句。
7.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是(A)A、器件外部特性;B、器件的内部功能;C、器件的综合约束;D、器件外部特性与内部功能。
8.进程中的信号赋值语句,其信号更新是(C)A、按顺序完成;B、比变量更快完成;C、在进程的最后完成;D、都不对。
9.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C)A、仿真器B、综合器C、适配器D、下载器10.VHDL常用的库是(A)A、IEEE;B、STD;C、WORK;D、PACKAGE。
11.在VHDL中,用语句(D)表示clock的下降沿。
A、clock'EVENT;B、clock'EVENT AND clock='1';C、clock='0';D、clock'EVENT AND clock='0'。
EDA选择题题库(65题)_附答案
EDA选择题题库教师组卷、学生备考用1、在EDA工具中,能完成在目标系统器件上布局布线软件称为( C )。
A.仿真器B.综合器C.适配器D.下载器2、在执行Quartus Ⅱ的( D )命令,可以精确分析设计电路输入与输出波形间的延时量。
A .Create default symbol B.SimulatorC. CompilerD.Timing Analyzer3、在Verilog HDL中,用语句( D )表示clock的下降沿。
A. posedge clockB. negedge clockC. clock==1’b0D. clock==1’b14、QuartusII中编译Verilog源程序时要求( C )。
A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定5、Verilog语言对大小写是( D )。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6、在Verilog语言中,标识符描述正确的是( A )。
A. 必须以英文字母或下划线开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7、符合Verilog标准的标识符是( A )。
A. A_2B. A+2C. 2AD. 228、符合Verilog标准的标识符是( A )。
A. a_2_3B. a*2C. 2_2_aD. 2a9、不符合Verilog标准的标识符是 C 。
A. a_1_inB. a_in_2C. 2_aD. asd_110、下面数据中属于实数的是( A )。
A. 4.2B. 3C. 1’b1D. 5’b1101111、下面数据中属于位矢量的是( D )。
A. 4.2B. 3C. 1’b1D. 5’b1101112、运算符优先级的说法正确的是( A )。
A. NOT的优先级最高B. AND和NOT属于同一个优先级C. NOT的优先级最低D. 前面的说法都是错误的13、运算符优先级的说法正确的是( D )。
EDA技术实用教程考试复习题目试题库(关于VHDL)
《EDA技术与项目训练》选择题1. 一个项目的输入输出端口是定义在 A 。
A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。
A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是 A 。
A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。
A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. 关于1987标准的VHDL语言中,标识符描述正确的是 A 。
A. 必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是 B 。
A. 下划线可以连用B. 下划线不能连用C. 不能使用下划线D. 可以使用任何字符8. 符合1987VHDL标准的标识符是 A 。
A. A_2B. A+2C. 2AD. 229. 符合1987VHDL标准的标识符是 A 。
A. a_2_3B. a_____2C. 2_2_aD. 2a10. 不符合1987VHDL标准的标识符是 C 。
A. a_1_inB. a_in_2C. 2_aD. asd_111. 不符合1987VHDL标准的标识符是 D 。
A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是 D 。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置13. VHDL语言中信号定义的位置是 B D 。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置14. 变量是局部量可以写在 B 。
A. 实体中B. 进程中C. 线粒体D. 种子体中15. 变量和信号的描述正确的是 A 。
EDA试题
1.wire型变量与reg型变量有什么本质区别?它们可以用于什么类型语句中?2.阻塞赋值与非阻塞赋值有何区别?1.用Verilog设计一个3-8译码器。
2.设计一个异步清0,同步时钟使能和异步数据加载型8位二进制加法计数器。
参考例3-22module CNT10(clk,rst,en,load,cout,dout,data);input clk,en,rst,load;input [3:0] data;output[3:0] dout;output cout;reg [3:0] q1; reg cout;assign dout=q1;always@(posedge clk or negedge rst or negedge load) beginif(!rst) q1<=0;else if(!load) q1<=data;else if(en) beginif (q1<9) q1<=q1+1;else q1<=4'b0000;end endalways@(q1)if(q1==4'h9) cout=1'b1;else cout=1'b0;endmodule3.设计一个功能类似74LS160的计数器。
4.设计一个含有异步清零和计数使能的16位二进制加减可控计数器的Verilog HDL描述。
5.设计七人表决器。
module voter7(pass,vote);output pass;input [6:0] vote;reg pass;reg [2:0] sum;always @(vote)beginsum=0;if(vote[0]==1) sum=sum+1'b1;if(vote[1]==1) sum=sum+1'b1;if(vote[2]==1) sum=sum+1'b1;if(vote[3]==1) sum=sum+1'b1;if(vote[4]==1) sum=sum+1'b1;if(vote[5]==1) sum=sum+1'b1;if(vote[6]==1) sum=sum+1'b1;if(sum[2]) pass=0; //若超过4人赞成,则pass=0,LED1亮else pass=1;endendmoduleAltera Xilinx一、填空题(10分,每小题1分)1.用EDA技术进行电子系统设计的目标最终完成 ASIC 的设计与实现。
《EDA技术》期末测试参考答案
《EDA技术》期末测试参考答案《EDA技术》期中测试参考答案⼀、选择题(每⼩题2分,共计20分。
)1、VHDL语⾔共⽀持四种常⽤库,其中哪种库是⽤户的VHDL设计当前⼯作库()。
A.IEEE库B.VITAL库C.STD库D.WORK⼯作库2、VHDL的process进程语句是并⾏语句,它的内部是由( )语句构成的A. 并⾏语句和顺序语句B.顺序语句C.并⾏语句D.任意语句3、元件例化语句的作⽤是()。
A.描述元件模块的算法B.改善并⾏语句及其结构的可读性C.产⽣⼀个与某元件完全相同的⼀组并⾏元件D.在⾼层次设计中引⽤前⾯已经设计好的元件或电路模块4、在VHDL的并⾏语句之间,可以⽤( )来传递信息。
严格讲是D,但选C也可,因为⼀般情况下并⾏语句之间是⽤信号来传递信息的。
A.常量(Constant)B.变量(Variable)C.信号(Signal)D.变量和信号5、以下关于VHDL中常量的声明正确的是()。
A.Constant delay :Integer = 8B.Constant delay:Integer := 8C.Variable delay:Integer = 8D.Variable delay:Integer := 86、在VHDL语⾔中,下列对时钟边沿检测描述中,错误的是( )。
A. if clk’event and clk = ‘1’ thenB. if rising_edge(clk) thenC. if clk’event and clk = ‘0’ thenD.if clk’stable and not clk = ‘1’ then7、下列关于VHDL标识符的说法正确的是()(多选)A.标识符由26个英⽂字母和数字0~9以及下划线组成,其中字母不区分⼤⼩写。
B.标识符必须由英⽂字母开始,不连续使⽤下划线,且不能以下划线结束,C.标识符中可以包含空格D.标识符不允许与VHDL中的关键字重合8、下列对FPGA结构与⼯作原理的描述中,正确的是( )。
eda期末考试题目及答案
eda期末考试题目及答案一、选择题(每题2分,共20分)1. EDA(电子设计自动化)的主要功能是什么?A. 电路设计B. 电路仿真C. 电路测试D. 所有以上选项2. 在EDA中,HDL指的是什么?A. 高级设计语言B. 硬件描述语言C. 硬件开发语言D. 硬件描述逻辑3. 下列哪个不是EDA工具的主要组成部分?A. 原理图编辑器B. 仿真器C. 编译器D. 汇编器4. 在EDA中,FPGA代表什么?A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列5. 以下哪个是EDA设计流程中的必要步骤?A. 原理图绘制B. 电路板布局C. 焊接D. 电路测试二、填空题(每空2分,共20分)6. 常见的EDA软件有______、______和______。
答案:Cadence, Altium Designer, Mentor Graphics7. 在EDA中,______是一种用于设计和验证数字电路的图形化编程语言。
答案:VHDL8. EDA工具可以帮助工程师进行______和______。
答案:设计优化,性能分析9. 与ASIC相比,FPGA的优点是______和______。
答案:灵活性高,开发周期短10. 在EDA设计中,布局和布线是实现______的关键步骤。
答案:电路板物理结构三、简答题(每题10分,共30分)11. 简述EDA在现代电子设计中的重要性。
答案:EDA在现代电子设计中的重要性体现在它能够提高设计效率,降低成本,缩短产品上市时间,同时提高设计的可靠性和可维护性。
12. 解释什么是仿真,并说明在EDA设计流程中仿真的作用。
答案:仿真是一种模拟实际电路在不同条件下行为的技术。
在EDA 设计流程中,仿真用于验证设计的正确性,预测电路的性能,以及发现潜在的问题,从而在实际制造之前进行必要的修改。
13. 描述FPGA与ASIC在应用上的主要区别。
答案:FPGA是一种可编程的硬件,可以在设计完成后重新配置,适用于需要快速原型开发和灵活设计调整的场景。
EDA试题题库及参考答案
EDA试题题库及参考答案一、选择题:1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD 即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD 结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④B.②①④③C.④③②①D.②④③①3.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法多用于较规范、规模不大的电路设计,和HDL代码描述方法均可以被综合,相得益彰C.原理图输入设计方法无法对电路进行功能描述 D.原理图输入设计方法不适合进行层次化设计4.在VHDL语言中,下列对进程语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 C.当前进程中声明的变量不可用于其他进程D.进程说明语句部分、并行语句部分和敏感信号参数表三部分组成 5.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元 B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用 D.变量和信号的赋值符号不一样6.进程中的信号赋值语句,其信号更新是___C____。
A.按顺序完成; B.比变量更快完成; C.在进程的最后完成; D.都不对。
7. VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______DA.IEEE库 B.VITAL库 C.STD库 D.WORK工作库8. VHDL语言是一种结构化设计语言;一个设计实体包括实体与结构体两部分,结构体描述___________。
EDA选择题(含答案)
一、选择题:(20分)1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④ B.②①④③C.④③②①D.②④③①3.IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于固IP的正确描述为:__________DA.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路B.提供设计的最总产品——模型库C.以可执行文件的形式提交用户,完成了综合的功能块D.都不是4.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法一般是一种自底向上的设计方法C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次化设计5.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C.当前进程中声明的变量不可用于其他进程D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成6.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样7.下列状态机的状态编码,_________方式有“输出速度快、难以有效控制非法状态出现”这个特点。
eda期末考试题及答案
eda期末考试题及答案EDA期末考试题及答案一、选择题(每题2分,共20分)1. EDA代表的是:A. 电子设计自动化B. 电子数据交换C. 电子文档管理D. 电子设备分析答案:A2. 在EDA中,HDL指的是:A. 高级硬件描述语言B. 硬件描述语言C. 硬件设计语言D. 硬件开发语言答案:B3. 下列哪个不是EDA工具的常见功能?A. 仿真B. 布局C. 布线D. 编程答案:D4. FPGA代表的是:A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列答案:A5. VHDL是一种:A. 编程语言B. 硬件描述语言C. 数据库语言D. 操作系统答案:B6. 以下哪个是EDA软件的典型应用?A. 网页设计B. 游戏开发C. 电子电路设计D. 办公自动化答案:C7. 在EDA设计流程中,综合是指:A. 将设计从逻辑级别转换为门级别B. 将设计从门级别转换为晶体管级别C. 将设计从晶体管级别转换为物理布局D. 将设计从物理布局转换为最终产品答案:A8. 下列哪个是EDA设计中的错误?A. 功能错误B. 语法错误C. 布局错误D. 所有选项都是答案:D9. 以下哪个不是EDA设计中的测试类型?A. 功能测试B. 性能测试C. 压力测试D. 代码测试答案:D10. 在EDA中,后仿真分析是指:A. 在仿真之前进行的分析B. 在仿真之后进行的分析C. 在仿真过程中进行的分析D. 不进行任何分析答案:B二、简答题(每题10分,共30分)1. 请简述EDA在电子设计中的重要性。
答案:EDA(电子设计自动化)是现代电子设计不可或缺的工具,它允许设计师使用软件工具来设计和验证电子系统,从而提高设计效率,减少错误,加快产品上市时间,并且可以设计出更复杂、更高性能的电子系统。
2. 描述一下在EDA设计流程中,仿真的作用是什么?答案:在EDA设计流程中,仿真是一个关键步骤,它允许设计师在实际制造电路之前验证设计的功能和性能。
EDA选择题
一、选择题1、EDA的中文含义是()。
A. 电子设计自动化B. 计算机辅助计算C. 计算机辅助教学D. 计算机辅助制造2、基于EDA软件的FPGA / CPLD设计流程是()。
A.设计输入→功能仿真→综合→适配→编程下载→硬件测试B.设计输入→适配→综合→功能仿真→编程下载→硬件测试;C.设计输入→功能仿真→综合→编程下载→→适配硬件测试;D.设计输入→功能仿真→适配→编程下载→综合→硬件测试3、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是()。
A. FPGA全称为复杂可编程逻辑器件;B. FPGA是基于乘积项结构的可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.所有FPGA均是采用查找表结构。
4、下列关于 CASE 语句的说法不正确的是。
A. 条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。
B. CASE 语句中必须要有 WHEN OTHERS=>NULL;语句。
C. CASE 语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现D. CASE 语句执行必须选中,且只能选中所列条件语句中的一条。
4、进程内不能定义()A. 常量B.变量C.信号D.子程序6、VHDL运算符优先级的说法正确的是()。
A. 逻辑运算的优先级最高B. 关系运算的优先级最高C. 逻辑运算的优先级最低D. 关系运算的优先级最低7、进程中的信号赋值语句,其信号更新是()。
A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。
8、不完整的IF语句,其综合结果可实现()。
A. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路9、在VHDL的并行语句之间,可以用()来传递往来信息。
A.变量B.变量和信号C.信号D.常量10、MAXPLUSII 中文本文件的后缀名是()。
A. DOCB. GDFC. VHDD. TDF11、使用MAX+plusII工具软件建立仿真文件,应采用()方式。
EDA技术习题.
第一章EDA技术概述填空题1.一般把EDA技术的发展分为_______、_______和________三个阶段。
2.在EDA发展的_________阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PCB)布局布线等工作。
3.在EDA发展的_______阶段,人们可与将计算机作为单点设计工具,并建立各种设计单元库,开始用计算机将许多单点工具集成在一起使用。
4.EDA设计流程包括_________、__________、__________和_________四个步骤。
5.EDA的设计验证包括________、__________和_________。
6.EDA的设计输入方式主要包括________、________和_________。
7.文本输入是指采用_________进行电路设计的方式。
8.功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为_______。
9.时序仿真是在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为________或_______。
10.当前最流行的并成为IEEE标准的硬件描述语言包括_________和________.11.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为_______的设计法。
12.EDA工具大致可以分为________、_______、_______、________以及_____等5个模块。
13.将硬件描述语言转换为硬件电路的重要工具称为_______。
单项选择题1.将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( ).①设计输入②设计输出③仿真④综合2.在设计输入完成后,应立即对设计文件进行()①编辑②编译③功能仿真④时序仿真3.在设计处理工程中,可产生器件编程使用的数据文件,对于CPLD来说是产生()①熔丝图②位流数据③图形④仿真4.在设计处理过程中,可产生供器件编程使用的数据文件,对于FPGA来说是生成()①熔丝图②位流数据③图形④仿真5.在C语言的基础上演化而来的硬件描述语言是()①VHDL②Verilog HDL③AHD④CUPL6.基于硬件描述语言HDL的数字系统设计目前最常用的设计法称为()设计法。
EDA选择题
1. 一个项目的输入输出端口是定义在 A 。
A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。
A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是 A 。
A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。
A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. 关于1987标准的VHDL语言中,标识符描述正确的是 A 。
A. 必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是 B 。
A. 下划线可以连用B. 下划线不能连用C. 不能使用下划线D. 可以使用任何字符8. 符合1987VHDL标准的标识符是 A 。
A. A_2B. A+2C. 2AD. 229. 符合1987VHDL标准的标识符是 A 。
A. a_2_3B. a_____2C. 2_2_aD. 2a10. 不符合1987VHDL标准的标识符是 C 。
A. a_1_inB. a_in_2C. 2_aD. asd_111. 不符合1987VHDL标准的标识符是 D 。
A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是 D 。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置13. VHDL语言中信号定义的位置是 D 。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置14. 变量是局部量可以写在 B 。
A. 实体中B. 进程中C. 线粒体D. 种子体中15. 变量和信号的描述正确的是 A 。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器B.综合器C.适配器D.下载器2.在执行MAX+PLUSⅡ的(D)命令,可以精确分析设计电路输入与输出波形间的延时量。
A .Create default symbol B. SimulatorAnalyzer3.VHDL常用的库是(A)A. IEEEC. WORKD. PACKAGE4.下面既是并行语句又是串行语句的是(C)A.变量赋值B.信号赋值语句…ELSE语句5.在VHDL中,用语句(D)表示clock的下降沿。
A. clock’EVENT’EVENT AND clock=’1’C. clock=’0’D. clock’EVENT AND clock=’0’1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__A__。
A .软IP B.固IP C.硬IP D.都不是2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,__D__是错误的。
A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。
是基于乘积项结构的可编程逻辑器件;是全称为复杂可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。
4.进程中的变量赋值语句,其变量更新是_A__。
A.立即完成;B.按顺序完成;C.在进程的最后完成;D.都不对。
5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述__D__。
A.器件外部特性;B.器件的综合约束;C.器件外部特性与内部功能;D.器件的内部功能。
6.不完整的IF语句,其综合结果可实现___A___。
A. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化___B___。
①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法A. ①③⑤B. ②③④C. ②⑤⑥D. ①④⑥8.下列标识符中,__B___是不合法的标识符。
A. State0B. 9moonC. Not_Ack_0D. signall9.关于VHDL中的数字,请找出以下数字中最大的一个:__A__。
A. 2#1111_1110#B. 8#276#C. 10#170#D16#E#E110.下列EDA软件中,哪一个不具有逻辑综合功能:__B__。
+Plus IIII1、2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→__A__→综合→适配→____B____→编程下载→硬件测试。
P14A. 功能仿真B. 时序仿真C. 逻辑综合D. 配置3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__A___。
P25A. 软IPB. 固IPC. 硬IPD. 全对4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,___D__是错误的。
P15A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。
B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件。
C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。
D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过__A__实现其逻辑功能。
P42A. 可编程乘积项逻辑B. 查找表(LUT)C. 输入缓冲D. 输出缓冲6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____B___。
P274A. 器件外部特性B. 器件的内部功能C. 器件外部特性与内部功能D. 器件的综合约束7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中___A___不属于面积优化。
P238A. 流水线设计B. 资源共享C. 逻辑优化D. 串行化8. 进程中的信号赋值语句,其信号更新是___B____。
P134A. 立即完成B. 在进程的最后完成C. 按顺序完成D. 都不对9. 不完整的IF语句,其综合结果可实现__A__。
P147A. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路10. 状态机编码方式中,其中__A__占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。
P221A. 一位热码编码B. 顺序编码C. 状态位直接输出型编码D. 格雷码编码1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_____ D_____。
A .瘦IP B.固IP C.胖IP D.都不是2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,____ D _____是错误的。
A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。
3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。
A. FPGA全称为复杂可编程逻辑器件;B. FPGA是基于乘积项结构的可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。
4.进程中的信号赋值语句,其信号更新是___C____。
A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。
5. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____B______。
A.器件外部特性;B.器件的内部功能;C.器件的综合约束;D.器件外部特性与内部功能。
6.不完整的IF语句,其综合结果可实现____ A ____。
A. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_____ B____。
①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法A. ①③⑤B. ②③④C. ②⑤⑥D. ①④⑥8.下列标识符中,_____B_____是不合法的标识符。
A. State0B. 9moonC. Not_Ack_0D. signall9.关于VHDL中的数字,请找出以下数字中最大的一个:____ A______。
A. 2#1111_1110#B. 8#276#C. 10#170#D. 16#E#E110.下列EDA软件中,哪一个不具有逻辑综合功能:____ B ____。
A. Max+Plus IIB. ModelSimC. Quartus IID. Synplify1.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:(D)A.①②③④ B.②①④③ C.④③②① D.②④③①2.执行Quartus II的( B )命令,可以检查设计电路错误。
A Create Default SymbolB Compiler----编译C Simulator ----时序仿真D Timing Analyzer ---时序分析3.在设计输入完成后,应立即对设计文件进行(C)。
A编辑 B 编译 C 功能仿真D时序仿真4. 在VHDL中用(C )来把特定的结构体关联一个确定的实体,为一个大型系统的设计提供管理和进行工程组织。
A输入 B 输出 C综合 D配置5电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化)及提高运行速度(即速度优化),下列方法(A )不属于面积优化。
A 流水线设计B 资源共享C 逻辑优化D 串行化6不完整地IF语句,其综合结果可实现()A 时序逻辑电路B 组合逻辑电路C 双向电路D 三态控制电路7.下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的()。
A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;B.原理图输入设计方法一般是一种自底向上的设计方法;C.原理图输入设计方法无法对电路进行功能描述;D.原理图输入设计方法也可进行层次化设计。
8.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是(C)A. PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。
B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号;C.进程由说明部分、结构体部分、和敏感信号三部分组成;D.当前进程中声明的变量不可用于其他进程9 IP核在EDA技术和开发中占有很重要的地位,提供VHDL硬件描述语言功能块,但不涉及实现该功能模块的具体电路的IP核为( C)A 硬件IPB 固件IPC 软件IPD 都不是10 综合是EDA设计的关键步骤,下面对综合的描述中错误的是()A 综合就是把抽象设计中的一种表示转换成另一种表示的过程。
B 综合就是将电路的高级语言转换成低级的,可与FPGA/CPLD相映射的功能网表文件。
C 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。
D 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。
11大规模可编程器件主要有CPLD和FPGA两类,下面对FPGA结构与工作原理描述中,正确的是(C)A FPGA全称为复杂可编程逻辑器件B FPGA是基于乘积项结构的可编程逻辑器件。