五人表决器的设计说明

合集下载

三人表决器、五人表决器的实验报告

三人表决器、五人表决器的实验报告

三人表决器、五人表决器的实验报告

一 实验目的

1.熟悉Quartus II 软件的基本操作

2.学习使用Verilog HDL 进行设计输入

3.逐步掌握软件输入、编译、仿真的过程 二 实验说明

三人表决器真值表:

输入信号

输出信号

B1 B2 B3 u 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1

1

1

1

逻辑表达式:U=

b1

b2 voter u

本次实验是要设计一个三人表决器。该电路应有两个数据输入端口b1,b2,b3,电路的输出端口为voter(u

三实验要求

1、完成三人表决器的Verilog HDL程序代码输入并进行仿真

2、采用结构描述方式和数据流描述方式

3、完成对设计电路的仿真验证

四、实验过程

(1)三人表决器:

程序代码

仿真结果

五人表决器:

程序代码

仿真结果

五、实验体会

通过三人表决器和五人表决器的设计,使我们更加熟悉Quartus 软件进行数字系统设计的步骤,以及运用Verilog HDL进行设计输入,并掌握三人表决器和五人表决器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。三人表决器和五人表决器大体相似,并没有太大的区别。

proteus五人表决器仿真

proteus五人表决器仿真

proteus五人表决器仿真

以Proteus五人表决器仿真为标题,我们来探讨一下Proteus软件在五人表决器仿真中的应用。

Proteus是一款电子电路仿真软件,可以用于设计、仿真和验证电子电路。在五人表决器中,Proteus的仿真功能可以帮助我们模拟五人的投票过程,以便更好地理解和分析表决器的工作原理。

在五人表决器中,每个人有两种选择,即支持或反对某个提案。为了实现这个表决过程,我们可以使用Proteus的开关元件来代表每个人的选择。开关元件有两个状态,可以表示支持或反对。

我们需要设计一个简单的电路来模拟五人的投票过程。我们可以使用Proteus提供的开关元件和LED灯来完成这个电路设计。开关元件用于输入每个人的选择,LED灯用于显示表决结果。

接下来,我们需要设置逻辑门来处理五个人的选择。逻辑门可以根据输入的开关状态来计算表决结果。在这个例子中,我们可以使用AND门和OR门来实现。AND门用于计算支持的人数,OR门用于计算反对的人数。

在Proteus中,我们可以选择不同的逻辑门来实现五人表决器。例如,我们可以使用74LS08芯片作为AND门,使用74LS32芯片作为OR门。这些芯片在Proteus库中都有提供,我们只需要把它们

拖放到电路面板上即可。

完成电路设计后,我们可以对其进行仿真。在Proteus中,我们可以设置开关元件的状态,并观察LED灯的亮灭情况来判断表决结果。通过反复调整开关元件的状态,我们可以模拟不同的投票情况,从而得出不同的表决结果。

通过Proteus的仿真功能,我们可以快速、准确地模拟五人表决器的工作过程,从而更好地理解和分析其原理。同时,Proteus还可以帮助我们分析不同投票结果对最终决策的影响,以及调整不同人的投票选择对表决结果的影响。

实验2 五人表决器(无源码)

实验2  五人表决器(无源码)

长安大学 电子与控制工程学院 电子科学与技术系
通过对话框中的 Hardware Setup 按钮,选择下载设备:USB-Blaster。参照上图所 示的选项,点击 Start 完成下载。 五、实验结果
以下是对参考代码的编译下载后的部分图例:
说明:初始状态中,分别显示同意和反对票数的数码管 6 和数码管 5 输出“FF”, 表示可以进行新的一次表决操作。此时拨动开关有两个处于高电平(同意), LED14、LED13 对应被点亮。
- 12 -
制作人:程鸿亮
长安大学 电子与控制工程学院 电子科学与技术系
2. 开发系统 I/O 管脚映射表:
引脚名称
引脚号
I/O0
AB15
I/O1
AB14
I/O2
AB13
I/O3
AB12
I/O4
AA20
I/O5
AA19
I/O6
AA18
I/O7
L19
I/O8
J14
I/O9
H15
I/O10
H14
I/O11
说明:清零按键被按下后,回到初始状态,此时控制拨动开关使得三个处于高电 平(同意),LED11、LED13、LED14 对应被点亮。
- 10 -
制作人:程鸿亮
长安大学 电子与控制工程学院 电子科学与技术系
说明:锁存按键再次被按下后,分别显示同意和反对票数的数码管 6 和数码管 5 输出“32”,表示有 3 人同意,2 人反对,LED1 被点亮表示表决结果为“同意”。 并且此时在对拨动开关进行控制也不会改变表决状态。同时 LED4~LED6 同时 点亮表示此次表决结束。

proteus五人表决器仿真

proteus五人表决器仿真

Proteus五人表决器仿真

1. 简介

在现代社会中,民主决策和多数决策已成为一种常见的决策方式。为了更方便和高效地进行集体决策,设计和开发一种能够模拟多人表决过程的仿真器是非常重要的。Proteus五人表决器仿真正是为了满足这一需求而开发的一款软件。

2. Proteus五人表决器的原理

Proteus五人表决器仿真基于五人表决的原理进行工作。五人表决是一种常见的决

策方式,即在一个团队或组织中,每个成员被赋予一个平等的表决权,根据多数的意见来做出最终的决策。

Proteus五人表决器仿真通过模拟五个虚拟成员的参与和表决过程来实现多人表决。每位虚拟成员都会被赋予一个随机生成的身份和意见,他们会按照一定的规则进行表决。通过模拟多次表决和统计每次表决的结果,Proteus五人表决器能够帮助用

户更好地理解多人表决的过程和结果。

3. Proteus五人表决器的功能

Proteus五人表决器仿真具有以下功能:

3.1 设置虚拟成员

用户可以根据需要设置虚拟成员的数量和身份。Proteus五人表决器可以支持更多

或更少的虚拟成员,让用户能够更好地模拟实际情况。

3.2 设定表决规则

在进行仿真之前,用户可以设定表决规则,例如是否允许弃权、是否允许平局等。这些规则将影响到最终的决策结果。

3.3 进行多次表决

Proteus五人表决器可以进行多次表决,并记录每次表决的结果和统计信息。用户

可以根据需要设置表决次数,这有助于对多人表决过程和结果的分析和理解。

3.4 查看汇总统计

Proteus五人表决器可以生成汇总统计信息,包括每位虚拟成员的表决情况和整体

电子信息五人表决器电路设计

电子信息五人表决器电路设计

五人表决器电路设计

一、实训任务

1.实现五人表决器逻辑:多数通过

2.使用verilog语言编辑实现逻辑功能

Waveform File建立仿真波形文件

实训报告

二、实训要求

1、绘图必须规范、严谨,要求仿真成功。

2、不得相互拷贝和抄袭

三、实训内容

原理图

步骤图

程序

module fire_voter_ex(b1,b2,b3,b4,b5,u);

input b1,b2,b3,b4,b5;

output u;

wire[2:0] add_result;

assign add_result = b1+b2+b3+b4+b5;

assign u = (add_result >=3) 1:0;

endmodule

四、结果分析

数据结果

当输入信号大于或等于3时,LED灯点亮,表决通过。当输入信号小于3是,LED灯不点亮,表决不通过。

图表形式

仿真结果看附录(图1)

遇到的问题

在电脑按装驱动后,还是不能烧录到芯片

解决的方式

驱动需要安装在cpld/fpga软件文件内才能起作用

五、实训心得

该实验的关键是表决器通过的人数的限制,和对用Verilog语言编写程序的初步了解。在解决表决器通过人数这一问题,我通过对加起来的人数的数量来实现表决是否通过。

通过项目对Verilog有了大概的了解,在设计中在要多些耐心与毅力

六、附件

图1

程序

图2

五人表决器

五人表决器

五人表决器

引言

在许多决策过程中,需要有一个有效的方式来进行投票和表决。五人表决器是一个简单、直接的方式,可以帮助团队或委员会在决策过程中达成一致。本文将介绍五人表决器的原理、使用方法以及优缺点。

原理

五人表决器基于简单多数原则进行决策。所谓简单多数就是指当投票结果中超过半数的人支持某个选项时,该选项被采纳。具体而言,对于五人表决器来说,当有五个人同时进行表决时,如果有三个或以上的人支持某个选项,则该选项被视为胜出。

使用方法

在使用五人表决器时,需要以下步骤:

1.确定表决的议题或选项:首先需要明确需要做出决策的议题或选项。

2.组织五人团队:确定五个参与表决的成员,并向他们介绍表决器的原

理和使用方法。

3.进行投票:组织好五人团队后,进行投票。每个团队成员可以在选项

上做出选择,支持或反对。

4.计票:将所有成员的选票进行计票,统计各个选项的得票数。

5.判断结果:根据得票数判断是否有选项获得简单多数的支持,并确定最终的结果。

6.宣布结果:将最终结果向所有团队成员宣布,并确保每个成员都了解和接受该决策结果。

优点

使用五人表决器有以下几个优点:

•简单直接:五人表决器的原理简单明了,易于理解和使用。

•高效快捷:由于只需要进行简单的数数和判断,整个表决过程可以在较短时间内完成。

•公平公正:五人表决器确保了每个成员的投票权利,每个成员的意见都能得到平等对待。

•反映多数意愿:五人表决器采用简单多数原则,能够反映多数人的意愿,避免了个别意见对整个决策结果产生过大影响的问题。

缺点

然而,五人表决器也存在一些潜在的缺点:

五人表决器_九九乘法表__交通灯(EDA报告)

五人表决器_九九乘法表__交通灯(EDA报告)

实验一五人表决器的设计

一、实验目的

1、了解和初步掌握ISPlever软件的基本操作方法以及电子线路的程序编写

abel语言的编写。

2、通过实验,加深电路设计的概念以及了解计算机辅助设计分析的过程

3、培养学生的创新能力以及理论知识的应用能力。

二、实验内容及步骤

本实验要求利用ISPLEVER软件完成对五人表决器的设计及仿真,表决规则是,多数胜少数。分析题意,我们可以知道此次仿真应有五个输入端口,一个输出端口。分别设置其A,B,C,D,E为输入端口,F为输出端口。故分析可知其真值表如下所示:

图1:无人表决器真值表

可分析:无人中任意三人通过则表决可以通过,故得到其逻辑表达式为

F=ABC+ABD+ABE+ACD+ACE+ADE+BCD+BCE+BDE+CDE

实验步骤:

1、打开ISPLEVER软件,新建一个项目,并命名为Untitled.syn。

2、在新建立的项目的基础上新建一个原理图文件,并为之命名为

biaojueqi.sch。用软件绘制原理图如下所示:

图2:五人表决器原理图

3、在顶层原理图的基础上,为模块编写ABEL语言程序,原理图中建立了WTF

模块,新建立一个程序文件wtf.abl。

编写此项目的仿真文件程序biaojueqi.abv得到:

对此项目文件进行仿真,得到仿真结果如图:

图3:实验结果仿真分析

三、实验结果分析

本次实验设计的是五人表决器,要求A,B,C,D,E五个输入中只要有三个以上为1,那么实验的输出即为1。通过分析,我们得到了表决器输出的逻辑表达式,然后根据表达式完成了VOTE项目的设计,项目设计后仿真得到,A,B,C,D,E全为1时则全票通过;A,B,D,E为1,C为0时F为1;A,B,E 为1,C,D为0时F为1;A,B为1,C,D,E为0时F为0;A,B,C,D为0,E 为1时输出F为0;当A,B,C,D,E全为0时,则F=0;经过TEST文件来对程序检测,发现设计基本可以完成表决任务。

五人多数表决器VHDL

五人多数表决器VHDL

五人多数表决器的VHDL设计

1设计要求

(1)五人多数表决逻辑:多数通过;

(2 )在主持人控制下,10秒内表决有效;

(3)设主持人控制键,复位键:

控制键:启动表决;

复位键:系统复位。

2设计说明

在脉冲作用下,使用减法计数器,在初值为10秒的时候,主持人按控制键启动表决后,开始计时。每来一个脉冲计数器就减少1。一直这样下去,直到计数器变为0。计数器为0时投票无效。最后统计投票人数通过同意人数决定表决结果,当投票人不小于3人时,投票通过。在主持人按下复位键时,计数回到10,重新进行减法计数器。直到为0。

3设计结果

3.1电路原理图

3.2信号表

voter:—维数组voter用来表示五位表决者;

pass:表决最终是否通过(‘ 1'为“通过”,‘为“未通过”);

total :表决通过的人数;

cou nt:用来显示倒计时;

reset:主持人复位键,用来系统复位; start:主持人控制键,用来启动表决;

elk :系统时钟;

图2信号图

3.3仿真结果

当处于复位状态时,外界的输入对结果没有影响。故时间仍为10秒,输出统计人

数为0。仿真波形如图3所示。

图3复位时的模拟结果

在非复位状态下,主持人按下开始键。表决开始。在没有人投票的情况下。时间变为0。表决结束。仿真波形如图4所示。

在非复位状态下,主持人按下开始键。表决开始。当超出表决时间时才进行表决,

此表决无效。仿真波形如图5所示。

图5规定时间外的模拟结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有两人赞同,仿 真波形如图6所示。

UiUTlg

Value t

五人表决器的设计

五人表决器的设计

数字电路课程设计报告书

课题名称 五人表决器的设计

姓 名 学 号

院、系、部 物理与电信工程系 专 业 电子信息工程

指导教师

2008年 07 月06日

※※※※※※※※※ ※

※ ※※ ※

2006级学生数字电

路 课程设计

五人表决器的设计

1 设计目的

(1)熟悉集成电路的引脚安排。

(2)掌握各芯片的逻辑功能及使用方法。

(3)了解面包板结构及其接线方法。

(4)了解五人表决器的组成及工作原理。

(5)熟悉五人表决器的设计与制作。

(6)熟悉 EWB 软件的运用,用该软件仿真结果。

2 设计思路

(1)设计表决器工作电路。

(2)设计输入电路。

(3)设计清零电路。

(4)设计输出显示电路。

3 设计过程

3.1方案论证

数字表决器总体方框图如图1所示。

图1 五人表决器框图

1.表决时,评委根据自己意愿投票,选择按键,即输入逻辑电位。2.对逻辑电位进行译码。

3.对译码后芯片的输出再进行逻辑运算。

4.将运算结果通过指示灯输出,显示表决结果。

3.2电路设计

五人表决器电路如图2所示。

图2 工作原理接线图

该电路完成三个功能:一是通过清零端由主持人控制电路工作状态,明确表决器是否在工作;二是通过输入电路输入各评委的投票结果;三是通过输出电路输出并显示投票结果。

工作过程:由主持人控制的开关S打到低电平时,通过与门,输出全部为低电平,则所有灯泡都熄灭,不显示任何投票结果,表决器处于不工作状态。当主持人宣布投票开始后,各评委开始投票。根据电路输入端可知,输入为高电平有效,若评委同意该选手晋级,则调拨开关,使之打到高电平,输入就为高电平;若评委不同意该选手晋级,则调拨开关,使之打到低电平,输入就为低电平。而该表决器工作电路是通过用74HC139 2-4线译码器与74HC138 3-8线译码器发生其功能的,输入各评委的投票结果,使之成为有效二进制数,再通过芯片作用输出,且输出为低电平有效。但是灯泡是在高电平时才亮,故输出端用与非门输出,使之输出最终为高电平,使灯泡能亮,显示投票结果。且用两个74LS20双4输入与非门作为该转换过程的工具。结果显示则用灯泡,投票同意该选手晋级则绿灯亮,投票不同意该选手晋级,则红灯亮,该选手遭淘汰。投票结束后,主持人宣布“投票结束,请看投票结果”,由主持人将开关S打到高电平,则投票结果显示。

五人表决器的设计说明

五人表决器的设计说明

《数字与逻辑电路基础》课程设计

——五人表决器的设计

姓名:

学号:2015

学院:自动

任课教师:

目录 (2)

引言 (3)

摘要............................................................................................ 错误!未定义书签。实验设计原理............................................................................ 错误!未定义书签。实验步骤.................................................................................... 错误!未定义书签。真值表 (4)

卡诺图 (5)

电路图 (7)

Multisim仿真截图 (8)

电路设计总结 (8)

引言:

现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否

的结果。

摘要:

74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分

区工作。

实验设计原理分析:

先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。

单片机课程设计报告(五人表决器)

单片机课程设计报告(五人表决器)

目录

一、方案设计 (2)

二、硬件电路设计 (2)

三、软件设计说明 (6)

四、程序清单 (7)

五、制作调试说明 (12)

六、操作使用说明 (13)

七、总结 (13)

单片机课程设计

一、方案设计

1、设计题目:5人表决器

2、设计要求:

1)、可供5—7个人进行表决,每个人有一个“同意”和一个“反对”按键,故一个人需要两个按键,表决时两个键先按下的一个有效,同时按下则表示无效,每次表决每个按键只能是第一次按下的有效,多按无效,用单片机设计表决器是硬件电路与软件设计相结合的一种设计,因此在硬件电路只能实现按键的按下与释放,不能智能的实现检测按键被按下几次,则可用软件设计来实现其功能。2)会议主持人可利用按键控制表决的开始与结束,点亮黄灯用于显示表决开始,熄灭黄灯表示结束,并将表决结果用红灯与绿灯显示。当不相等时,“同意”多于“反对”点亮绿灯,“同意”少于“反对”点亮红灯。

3)在实现上述功能的基础上增加“同意”数和“反对”数的显示。

二、硬件电路设计

1、硬件设计思路:

此次设计的题目是5人表决器,分析得设计的硬件电路选用P1口与P2口作为同意与反对按键的输入端。又表决的开始与结束是由主持人来控制的,因此需要两个按键来表示表决的开始与结束,因此可采用外部中断0与外部中断1来控制表决的开始于结束。故设计总共需要按键12个。设计要求中需要四盏灯,分变为

一个黄灯,一个绿灯和二个红灯,三盏灯用于输出显示,因此也可以征用单片机的I/O口,由于P1口与P2口作为同意与反对按键的输入端且P0口当做输出端口时可以不用接上拉电阻,因此可将三个显示灯接在P0口用于显示表决的结果。还有一盏红灯用来做电源指示灯。

五人投票机系统设计,PLC

五人投票机系统设计,PLC

- -

摘要

PLC的问世为我们的生活和生产作出了不可磨灭的贡献,从生产方面来说,在各大电厂及生产制造车间到处可见PLC控制系统的踪影,它可以准确持续完成生产过程自动化的综合自动化控制,提高了生产效率,降低了产品的成本…从生活方面来说,PLC控制系统和我们的衣食住行息息相关,它出现在我们生活中的每一个角落,时刻为我们的生活服务着,比如自动投币售货机、全自动化洗衣机、人机协控投票机…

关键词:PLC,投票机,控制

一、绪论

1、设计目的

①设计出plc控制的六人投票控制机;

②掌握plc的编程软件平台、定时器、计数器、传送指令、主子程序等有关指令的编程方法;

③熟悉plc与上位机通讯、软件调试的方法;

④培养学生的综合设计设计能力、分析问题与解决问题的能力。

2、设计内容

五人对对象进行投票,开关接通,表示赞成,开关打开表示反对,若反对人数较多,则表示没通过;若赞成人数较多,则表示通过。

3、要实现的目标

1、按下“启动”开关,开始计时,必须在5s的时间内完成投票,赞成则按下投票按钮,指示灯亮,表示投票成功;不赞成则不按投票按钮。

2、5s后投票停止后,存储器中的结果为最终结果。将结果进行比较,并显示投票结果。投票结果用三个灯(Y0,Y1)显示,Y0表示通过,Y0闪烁表示全票通过,Y1表示没通过。

3、当投票结果显示后,就不再接受任何信息,即使再投票,仍然不会改变其结果。

4、按下复位开关,所有的投票等立即熄灭,结果清零,可进行再次开始投票。

5、主持人按下停止按钮,系统停止工作。

二、系统分析及硬件设计

1、系统工作说明

五人多数表决器

五人多数表决器

广西大学行健文理学院 FPGA课程设计

题目:五人多数表决器

学部:电气信息学部

专业:电子科学与技术

班级:2012级1班

学号:**********

学生姓名:***

指导老师:***

二〇一五年一月

摘要

在电子设计与制造技术的发展中,核心就是电子设计自动化(EDA,Electronic Design Automation)技术。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。本次设计实验就是基于EDA技术和EP1C6Q240C8芯片(FPGA),及其外围电路,实现了五人多数表决器的设计。设计模块主要包括:控制单元、计数单元、显示单元。从而实现了用人数多于或等于3来判决是否通过,从而达到设计要求。

关键词:EDA、VHDL、显示单元、控制单元

目录

设计要求 (1)

前言 (1)

1方案论证 (1)

1.1方案一 (1)

1.2方案二 (2)

1.3方案对比与选择 (3)

2基本功能模块设计与说明 (3)

2.1十秒倒计时模块说明 (3)

2.2数码管机二极管显示模块说明 (3)

2.3电路原理图 (4)

3 软件设计 (4)

4 管脚说明 (5)

5仿真现象 (6)

6实验结论 (9)

7实验总结 (10)

致谢 (11)

参考文献 (12)

附录 (13)

五人多数表决器

设计要求

1五人多数表决逻辑:多数通过;

基于Multisim的五人表决器设计

基于Multisim的五人表决器设计

摘要:本次课程设计的目的是作出一个五人表决器,要求能实现五人表决器的基本功能。采用了组合电路的设计方法,首先根据表决器的功能写出真值表,得出输出的表达式,再根据卡诺图,得到最简的表达式。然后使用Multisim设计出原理图,仿真能得到正确的结果。

关键词:五人表决器、74LS283N、7485N、译码显示、表决

一、设计目的:

(1)、熟悉集成电路的引脚安排。

(2)、掌握Multisim的基本用法。

(3)、掌握74LS283N、7485N等芯片的逻辑功能和译码显示器的使用方法。

(4)、熟悉五人表决器的组成和工作原理。

(5)、熟悉五人表决器的设计。

二、设计思路:

(1)、设计表决器的工作电路。

(2)、设计输入电路。

(3)、设计清零电路。

(4)、设计显示输出电路。

三、设计过程:

3.1 整体设计思路

本次设计的五人表决器根据其实现的功能要求,大概可以分为四大方面:一是5人的按键选择;二是74LS283芯片,对输入电平进行全加;三是7485N芯片,对其进行比较;四是译码显示器对逻辑运算后的结果进行输出显示,完成五人表决器的功能。

根据以上的整体设计思路,五人表决器可以利用以下方框图,作形象的展现。其总体方框图如图1:

———

———

图1 五人表决器框图

结合以上框图,综述如下:

第一步:按键选择。五人评委根据意愿按下按键,即输入逻辑电位。

第二步:全加。有关芯片对所输进的逻辑电位,进行译码。

第三步:逻辑运算。对译码后芯片的输出进行逻辑运算。

第四步:显示输出。将运算结果通过译码显示器输出,显示表决结果。

3.2 各部分的具体电路设计

EDA五人表决器

EDA五人表决器

实验七:五人表决器的设计实验

姓名:学号:同组成员:

一.实验目的:

熟悉QII软件使用;练习数字电路的完整设计过程。

二.实验要求:

五人表决器,即五人参与表决,超过半数投赞成票(三人或三人以上按1),表决通过是;否则不通过。

三.实验步骤:

简要记录实验步骤即可。

1、列出真值表

2、创建新设计项目

3、创建HDL源文件

4、仿真

5、管脚锁定

6、下载

7、电路功能验证

四.实验结果:

1. 写出设计过程(真值表、简化结果)

2. 实验结果(包括RTL电路图和波形仿真结果)

3. 程序代码

5人表决器真值表

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity biao is

port(a:in std_logic_vector(4 downto 0);

Q: out std_logic);

end biao;

architecture arch of biao is

begin

process(a)

begin

case a is

when "00000"=> Q <= '0';

when "00001"=> Q <= '0';

when "00010"=> Q <= '0'; when "00011"=> Q <= '0'; when "00100"=> Q <= '0'; when "00101"=> Q <= '0'; when "00110"=> Q <= '0'; when "00111"=> Q <= '1'; when "01000"=> Q <= '0'; when "01001"=> Q <= '0'; when "01010"=> Q <= '0'; when "01011"=> Q <= '1'; when "01100"=> Q <= '0'; when "01101"=> Q <= '1'; when "01110"=> Q <= '1'; when "01111"=> Q <= '1'; when "10000"=> Q <= '0'; when "10001"=> Q <= '0'; when "10010"=> Q <= '0'; when "10011"=> Q <= '1'; when "10100"=> Q <= '0'; when "10101"=> Q <= '1';

单片机实习五人多数表决器

单片机实习五人多数表决器

51单片机课程设计

学院:电子与信息工程学院

班级:测控09-2

姓名:xxx

学号:xxx

指导教师:程万胜

五人多数表决器

一、设计的要求及目的

本课程设计是一个基于单片机系统实验箱,以STC10F04单片机为核心控制元件的五人多数表决器,通过时间的限制结合数码管、、发光二极管等器件构成一个简易的五人表决器。利用了单片机的延时电路、按键复位电路、时钟电路、定时中断等电路,当时间超过规定,以后的投票都视为无效。从而实现表决功能。 具体要求如下:

1. 五人多数表决逻辑:多数通过;

2. 在主持人控制下,10秒内表决有效;

3. 采用数码管显示表决10秒倒计时;

4. 表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式: 亮--通过,不亮--不通过;

5. 系统设置复位按钮,按动后,重新开始表决。

二、电路组成及各部分选定方案

(1)软件设计流程图

大于

小于

开始 系统初始化 开始按键的检测 循环检测表决同意按键 等待中断 中断程序 调用延时程序 判断选择人数

是否大于3 灯亮 灯不亮亮 中断返回

结束

(2)电路原理图

三.电路工作原理简述及器件说明

五个人分别控制一个按钮,同意者按下按钮,判断同意人数是否大于或等于三人。如满足以上要求,显示灯亮;反之,不亮。

(1)STC芯片介绍

STC10F04单片机的定时器0 /定时器1/串行口与传统8051兼容,增加了独特波特率发生器,省去了定时器2。传统8051的1111条指令执行速度全面提速,最快的指令快24倍,最慢的指令快3倍。

1.增强型8051 CPU,1T,单时钟,机器周期,指令代码完全兼容传统8051

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《数字与逻辑电路基础》课程设计

——五人表决器的设计

姓名:

学号:2015

学院:自动

任课教师:

目录...................................................................

(2)

引言 (3)

摘要.............................................. 错误!未定义书签。实验设计原理...................................... 错误!未定义书签。实验步骤.......................................... 错误!未定义书签。真值表 (4)

卡诺图 (5)

电路图 (7)

Multisim仿真截图 (8)

电路设计总结 (8)

引言:

现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否

的结果。

摘要:

74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分

区工作。

实验设计原理分析:

先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。

实验步骤如下:

一.列出5人表决结果真值表。

二.由真值表画出卡诺图。

(E=0)

(E=1)

三.用降维图法,令x=E后降为四维变量A,B,C,D并且画出

卡诺图如下。

四.令x=D降维写成3维卡诺图如下。

五.由上面的卡诺图结果取相应的原件:

1.与门,或门,一个74HC153双四选一数据选择器,探针一个,五开

关合一的S1(从上至下一次代表E D C B A),高低电平。

2.对照卡诺图可得到: DE : 1C1=1C2=2C0

D+E : 1C3=2C1=2C2

0 : 1C0

1 : 2C3

3.画出电路仿真图如下:

六.用Multisim仿真并且截图

按照上式连接好电路后进行仿真,本次进行了两次仿真,第一次与第二次变量的输入分别为E:1 D:0 C1 B:0 A:1与E:1 D:0 C:0 B:0 A:1,仿真结果如后面两图所示。

E:1 D:0 C1 B:0 A:1即第一三五位评委通过,结果为通过(亮

灯)。

E:1 D:0 C:0 B:0 A:1 即第一五位评委通过,但结果为不通过

(不亮灯)。

结果表明,该电路为一个5人多路表决电路。

相关文档
最新文档