三八译码器解读
138译码器原理
138译码器原理
138译码器是一种常用的数字电路元件,它在数字系统中扮演着十分重要的角色。它可以将输入的二进制信号转换成相应的输出信号,通常用于解码器、显示驱动器和存储器选择器等电路中。本文将对138译码器的原理进行详细介绍,以便读者更好地理解和应用这一元件。
138译码器是一种3-8译码器,它有3个输入引脚和8个输出引脚。在138译码器中,输入信号的组合决定了哪一个输出引脚会被激活,这种输出引脚的激活方式被称为译码。在138译码器中,当输入信号为000时,第一个输出引脚会被激活;当输入信号为001时,第二个输出引脚会被激活;以此类推,一直到当输入信号为111时,第八个输出引脚会被激活。
138译码器的原理基于布尔代数和逻辑门电路。在138译码器中,通常会使用与门、非门和或门等逻辑门电路来实现输入信号到输出信号的转换。通过适当的组合和连接这些逻辑门电路,可以实现输入信号到输出信号的精确转换,从而实现译码的功能。
在实际应用中,138译码器常常用于将数字系统中的控制信号
转换成相应的操作信号。例如,当我们需要控制一个8位的设备时,可以使用138译码器将3个控制信号转换成8个操作信号,从而实
现对设备的精确控制。此外,138译码器还可以用于驱动7段数码管、LED显示器和存储器芯片等场合,它的应用范围非常广泛。
在使用138译码器时,需要注意输入信号和输出信号之间的对
应关系,以及逻辑门电路的连接方式。只有在正确理解和应用138
译码器的原理之后,才能更好地发挥它的作用,从而提高数字系统
的性能和可靠性。
38译码器原理
38译码器原理
38译码器是一种常见的数字逻辑电路,它在数字系统中扮演着
十分重要的角色。它能够将输入的数字信号进行解码,输出对应的
控制信号,从而实现不同功能的控制。本文将对38译码器的原理进
行介绍,希望能够帮助读者更好地理解和应用这一电路。
首先,我们来看一下38译码器的基本结构。38译码器由三个
输入端和八个输出端组成,输入端共有三位,输出端共有八位。在
输入端,我们可以输入0至7的数字信号;而在输出端,每个输出
端对应一个特定的数字信号。当输入端接收到某一数字信号时,对
应的输出端将会输出高电平信号,而其他输出端则输出低电平信号。
接下来,我们来详细了解38译码器的工作原理。当输入端接收
到一个数字信号时,比如输入为3,那么在输出端,对应的第3个
输出端将会输出高电平信号,而其他输出端则输出低电平信号。这样,我们就可以根据输入端的信号来控制对应的输出端,实现不同
功能的控制。
在实际应用中,38译码器通常被用于数字系统中的地址译码和
显示控制等方面。在地址译码中,它可以根据输入的地址信号来选
择对应的存储单元或外设,实现对存储器或外设的控制和访问。而在显示控制中,它可以根据输入的数字信号来控制七段数码管等显示设备,实现数字信息的显示和输出。
除此之外,38译码器还可以与其他逻辑电路相结合,实现更复杂的逻辑功能。比如,它可以与门电路、触发器等组合,构成各种数字逻辑电路,满足不同的应用需求。因此,熟练掌握38译码器的原理和应用对于数字系统的设计和实现至关重要。
总的来说,38译码器作为一种常见的数字逻辑电路,具有解码输入信号并输出对应控制信号的功能,其原理和应用十分重要。通过本文的介绍,相信读者对38译码器有了更深入的了解,希望能够对读者在数字系统设计和应用中起到一定的帮助。
3-8译码器
实验二3-8译码器
一、实验目的:
1、通过一个3-8译码器的设计,巩固ISE软件的应用。
2、掌握ISE软件的综合与设计实现流程。
3、掌握设计约束的输入方法。
4、掌握组合逻辑电路的时序仿真方法。
二、实验步骤:
1、启动ISE集成开发环境,新建一个工程。
2、为工程添加设计源文件。
3、对源文件进行语法检查,并改正错误之处。
4、对设计进行综合、翻译与映射。
5、创建UCF文件,添加I/O约束,锁定引脚。
6、对设计进行布局布线,生成布局布线后仿真模型。
7、输入测试基准波形文件。
8、进行时序仿真,修改设计中的错误,记录仿真结果。
三、实验报告
1、写出3-8译码器的VHDL源程序。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity decoder38 is
port(g1,g2a,g2b:in std_logic;
a,b,c:in std_logic;
y:out std_logic_vector(7 downto 0));
end decoder38;
architecture Behavioral of decoder38 is
begin
process(g1,g2a,g2b,a,b,c)
variable temp:std_logic_vector(2 downto 0);
begin
temp:=g1&g2a&g2b;
if temp="100"then
三八译码器解读
《集成电路设计实践》报告题目:3-8译码器设计
院系:自动化学院电子工程系
专业班级:微电
学生学号:
学生姓名:
指导教师姓名:戴力职称:讲师
起止时间:2015.12.25-2016.01.08
成绩:
一、设计任务
1) 依据3-8译码器的真值表,给出3-8译码器的电路图,完成3-8译码器由电路图到晶体管级的转化(需提出至少2种方案);
2) 绘制原理图(Sedit),完成电路特性模拟(Tspice,瞬态特性),给出电路最大延时时间;
3) 遵循设计规则完成译码器晶体管级电路图的版图,流程如下:
4) 版图布局规划-基本单元绘制-功能块的绘制-布线规划-总体版图);
5) 版图检查与验证(DRC检查);
6) 针对自己画的版图,给出实现该电路的工艺流程图。
二、电路设计方案的确定
3-8译码器真值表
由三个输入端A,B,C和八个输出端Y0,Y1,Y2,Y3,Y4,Y5 ,Y6,Y7组成,输入输出用二进制表示。
从真值表可看出3-8译码器的八个输出管脚,任何时刻要么全为高电平1—芯片处于不工作状态,要么只有一个为低电平0,其余7个输出管脚全为高电平1。如果出现两个输出管脚在同一个时间为0的情况,说明该芯片已经损坏。
可通过使用三输入与非门及反相器实现功能,三输入与非门由三个pmos和三个nmos组成。
三、电路特性及其仿真
首先用S-Edit软件画出电路的模拟图,然后检查所画电路是否存在错误,将各个管子的尺寸标注出来,检查无误后点击T-Spice按钮将出现电路的网表图,然后给检测出的电路网表加上电源和输入信
号,经检查,确认添加无误后进行电路模拟仿真,即可得到电路模拟仿真图像。
初识EDA--2三八译码器
2021/4/4
9
5-7 新建设计文件选择窗口
2)在New对话框(图5-7)中选择Device Design Files页下的 Block Diagram/Schematic File,点击OK按钮,打开图形编辑 器对话框,如图5-8所示。图中标明了常用的每个按钮的功能。
2021/4/4
5-8 QUARTUS图形编辑器对话框
2021/4/4
5-9 Symbol对话框
12
4)用鼠标点击单元库前面的“+”号,展开单元库,用户可以选择 所需要的图元或符号,该符号则显示在右边的显示符号窗口,用户 也可以在符号名称里输入你所需要的符号名称,点击OK按钮,所 选择的符号将显示在图形编辑器的工件工域。
2021/4/4
13
5)参考图5-10所示,将要选择的器件符号放置在图形编辑器的工 件区域,用正交节点工具将原件边接起来,然后定义端口的名称。 在这个例子里,定义三个输入为A、B、C,定义八个输出为D0、 D1、D2、D3、D4、D5、D6、D7。用户也可以根据自己的习惯 来定义这些端口名称。
在QUARTUSII图形编辑器窗口(图5-8)中,根据个人爱 好,可以随时改变Block Editor的显示选项,如导向线和网格间距、 橡皮筋功能、颜色以及基本单元和块的属性等。
2021/4/4
11
3)在这里以用原理图输入设计一个三八译码器为例,介绍基本单 元符号输入方法的步骤。在图5-8所示的图形编辑器窗口的工件区 双击鼠标的左键,或点击图中的符号工具按钮,或选择菜单 Edit>Insert Symbol…,则弹出如图5-9所示的Symbol对话框。
三八译码器
三八译码器
预备知识三八译码器是一种常用的译码器
一译码器知识
1 译码是编码的逆过程,在编码时,每一种二进制代码,都赋予了特定的含义,即都表示了一个确定的信号或者对象。把代码状态的特定含义“翻译”出来的过程叫做译码,实现译码操作的电路称为译码器。或者说,译码器是可以将输入二进制代码的状态翻译成输出信号,以表示其原来含义的电路。
2 译码器是组合逻辑电路的一个重要的器件
3 译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。
二三八译码器知识
1 三八译码器
3-8译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。
2 三八译码器的真值表
其真值表如表3-2的输入,输出关系
输入输出
A B C D7 D6 D5 D4 D3 D2 D1 D0
0 0 0 0 0 0 0 0 0 0 1
0 0 1 0 0 0 0 0 0 1 0
0 1 0 0 0 0 0 0 1 0 0
0 1 1 0 0 0 0 1 0 0 0
1 0 0 0 0 0 1 0 0 0 0
1 0 1 0 0 1 0 0 0 0 0
1 1 0 1 0 0 0 0 0 0
1 1 1 1 0 0 0 0 0 0 0
表3-2
3-8译码器
摘要
EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。
利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。
现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。
EDA设计可分为系统级、电路级和物理实现级。
关键词译码,VHDL语言,MAX+PLUSⅡ
Abstract
EDA technology refers to the computer for working platform, shirt-sleeve application of electronic technology, computer technology and information processing and intelligent technology to the latest achievements of electronic products, the automatic design.
Using EDA tools, electronic stylist can be from concept, algorithm, agreement, etc, begin to design your electronic system a lot work can be finished by computer and electronic products can be from circuit design, performance analysis to design the IC territory or PCB layout the whole process of the computer automatically complete the processing.
3-8译码器的设计
4)单击OK按钮,列出仿真电路的所有输入、输 出管脚图。在本电路中,3-8译码器的输出为灰 色,表示未仿真前其输出是未知的。为符合常规 习惯,我们将调整一下管脚顺序,调整时只需选 中某一管脚(如)并按住鼠标左键拖至相应位置 即可完成。调整完管脚顺序后如下图所示。
5)为电路输入端口添加激励波形,如下图所示。 选中欲添加信号的管脚,窗口左边的各种信号源 即刻被激活,如箭头和圆括弧所示。根据实际电 路要求选择激励信号种类,在本电路中我们选择 时钟信号就可以满足仿真要求。
3)观察电路仿真结果,请单击激励输出波形文件 图标,如下图所示。
4)从上图可见,我们所设计的3-8 译码器顺利 地通过了仿真,设计完全正确。下面将上图放大, 仔细观查一下电路的时序,在空白处单击鼠标右 键,出现测量标尺,然后将标尺拖至欲测量的地 方,查看延时情况,如下图所示。
从上图可以看到,我们这个电路在实际工作时, 激励输出有6.4 个ns 的延迟时间。至此,你以完 成和掌握了电路仿真功能的基本应用。在对电路 进行时序分析时,有很多定时分析模式,有兴趣 的读者可以自己试着使用该功能,在某些时候这 些不同分析模式所确定参数有可能对您的设计项 目分析很有用。
6)标记输入/输出端口属性 分别双击输入端口的“PIN-NAME”,当其变成 黑色时,即可输入标记符名称并回车确认;输 出端口标记方法类似。本译码器的三输入断分 别标记为:A、 B、C;其八输出端分别为: D0、D1、D2、D3、D4、D5、D6、d7。如 下图所示。注意,端口名字不能为空。
3-8译码器工作原理
3-8译码器工作原理
译码是编码的反过程。编码是将信号转换成二进制代码,译码则是将二进制代码转换成特定的信号。将输入的二进制代码转换成特定的高(低)电平信号输出的逻辑电路称为译码器。
假设译码器由n个输入信号和N个输出信号,如果满足N=2n,就称为全译码器,又称二进制译码器,常见的全译码器由2-4线译码器、3-8线译码器、4-16线译码器等。如果满足N<2n,称为部分译码器,如二-十进制译码器(又称4-10译码器)、显示译码器等。
3-8线译码器是一种全译码器(二进制译码器)。全译码器的输入是3位二进制代码,3位二进制代码共有8种组合,故输出是与这8种组合一一对应的8个输出信号。译码器将每种二进制的代码组合译成对应的一根输出线上的高(低)电平信号。因此这种译码器也称为3-8线译码器。图5-44时5-6-1线译码器的框图。
图1 3-8线译码器框图
根据3-8线译码器的逻辑功能可以列出它的逻辑真值表,如表1所示。
从表1中所示可以看出,输入信号的每一种组合对应着一个输出端的高电平信号,即输出端为高电平(1)时认为该输出端有输出信号。当然,根据有需要也可以定义输出端为低电平(0)时认为该输出端有输入信号,此时称输出端低电平有效。
表1 3-8线译码器的逻辑真值表
输入
输出
A2
A1
A0
Y7
Y6
Y5
Y3 Y2 Y1 Y0
0 0 0 0 0 0 0 0 0 0 1
0 0 1 0
0 0 0 0 1 0
0 1 0 0 0 0 0 0 1 0 0
0 1
0 0 0 0 1 0 0 0
1 0 0 0 0 0 1 0 0 0 0
3-8线译码器实验内容
实验五 3-8线译码器
一、实验目的:1、熟悉常用译码器的功能逻辑。2、掌握复杂译码器的设计方法。
二、实验原理:1、总体思路以EP2C5中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七段LED数码管上显示出来。
2、3-8线译码器原理图如下图所示:
三、实验连线:
1、将EP2C5适配板左下角的JTAG用十芯排线和万用下载区左下角的SOPC JTAG 口连接起来,万用下载区右下角的电源开关拨到 SOPC下载的一边
2、请将JPLED1短路帽右插,JPLED的短路帽全部上插。
3、请将JP103的短路帽全部插上。
四、实验步骤:按照步骤三正确连线,参考实验二步骤,完成项目的建立,文件的命名,文件的编辑,语法检查,引脚分配,编译,下载。
实验参考代码:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
ENTITY DECODE IS
PORT(DATA_IN :IN STD_LOGIC_VECTOR(2 DOWNTO 0);
LEDOUT,DATA_OUT :OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
LEDW :OUT STD_LOGIC_VECTOR(2 DOWNTO 0)
);
END DECODE;
ARCHITECTURE ADO OF DECODE IS
SIGNAL OUTA,D_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0);
方案一38译码器
译码器和编码器
译码器(Decoder)和编码器(Encoder)是数字系统中广泛使用的多输入多输出组合逻辑部件。
一. 译码器
译码器的功能是对具有特定含义的输入代码进行"翻译",将其转换成相应的输出信号。
译码器的种类很多,常见的有二进制译码器、二-十进制译码器和数字显示译码器。
1.二进制译码器
(1) 定义
二进制译码器:能将n个输入变量变换成2n个输出函数,且输出函数与输入变量构成的最小项具有对应关系的一种多输出组合逻辑电路。
(2) 特点
●二进制译码器一般具有n个输入端、2n个输出端和一个(或多个)使能输入端。
●在使能输入端为有效电平时,对应每一组输入代码,仅一个输出端为有效电平,其余输出端为无效电平(与有效电平相反)。
●有效电平可以是高电平(称为高电平译码),也可以是低电平(称为低电平译码)。
(3) 典型芯片
常见的MSI二进制译码器有2-4线(2输入4输出)译码器、3-8线(3输入8输出)译码器和4-16线(4输入16输出)译码器等。图7.7(a)、(b)所示分别是T4138型3-8线译码器的管脚排列图和逻辑符号。
图7.7 T4138译码器的管脚排列图和逻辑符
图中, A2、A1、A0 ------ 输入端;
Y0,Y1,Y2,Y3,Y4,Y5,Y6,Y7------- 输出端;
S1,S2,S3 -------- 使能端,作用是禁止或选通译码器。
该译码器真值表如表7.1所示。
表7.1 T4138译码器真值表
输入
S1 S2+S3 A2 A1 A0
输出
Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7
3-8译码器原理
3-8译码器原理
3-8译码器是一种数字电路,它将一个3位二进制数转换为8个输出信号之一。它的原理是基于布尔代数和逻辑门电路实现的。下面将详细介绍3-8译码器的原理。
3-8译码器由三个输入引脚和八个输出引脚组成。输入引脚是A0、A1和A2,它们可以是0或1。输出引脚是Y0到Y7,它们中的一个会被激活,其它的则处于非激活状态。当输入引脚的二进制值为000时,输出引脚Y0会被激活。当输入引脚的二进制值为001时,输出引脚Y1会被激活,以此类推,直到输入引脚的二进制值为111时,输出引脚Y7会被激活。
3-8译码器的实现基于布尔代数和逻辑门电路。首先,使用Karnaugh图或真值表来确定每个输出引脚的布尔表达式。然后,使用逻辑门电路来实现这些布尔表达式。在3-8译码器中,使用了AND门、NOT门和OR门。
对于每个输出引脚,都需要一个布尔表达式。例如,对于输出引脚Y0,其布尔表达式为:
Y0 = A2' A1' A0'
其中,A2'表示A2的反相,A1'表示A1的反相,A0'表示A0的反相。这个布尔
表达式表示当A2、A1和A0都为0时,Y0会被激活。
对于每个布尔表达式,都需要使用逻辑门电路来实现。例如,对于Y0的布尔表达式,可以使用三个NOT门和一个AND门来实现。首先,使用三个NOT门来反相A2、A1和A0,然后将它们的输出连接到一个AND门的输入引脚上。当A2、A1和A0都为0时,三个NOT门的输出都为1,这样AND门的输出就会变为1,从而激活Y0。
3-8译码器的原理基于布尔代数和逻辑门电路实现。它可以将一个3位二进制数转换为8个输出信号之一。对于每个输出引脚,都需要一个布尔表达式和一个逻辑门电路来实现。3-8译码器在数字电路中有广泛的应用,例如在计算机内存芯片中用于选择特定的存储单元。
38译码器原理
38译码器原理
38译码器是一种常用的数字电路,用于将二进制编码信号转
换成十进制输出信号。它包含3个输入引脚(A, B, C)和8个输出引脚(Y0 - Y7),每个输入引脚都对应一个比特位。这样,通过输入不同的二进制编码,译码器可以将其转换成对应的十进制输出。
译码器的工作原理基于二进制编码和权重的概念。对于3位二进制编码来说,最低位(LSB)对应权重为2^0的位,次低位
对应权重为2^1的位,最高位(MSB)对应权重为2^2的位。因此,一个3位二进制编码可以表示的十进制数的范围为0到7。
当输入的二进制编码与其权重对应的比特位值相等时,相应的输出引脚会输出高电平(逻辑1),其他输出引脚都输出低电
平(逻辑0)。例如,当输入为二进制编码“011”时,输出引
脚Y1和Y2会输出高电平,其他引脚输出低电平。这样,我
们可以通过读取输出引脚的状态来获取对应的十进制输出。
总结来说,38译码器将3位的二进制编码信号转换成一个10
进制数字输出。它的工作原理是根据输入信号的比特位值和权重来决定输出引脚的状态。这种译码器在数字电路中应用广泛,可用于计算、显示和控制等各种应用场合。
3-8译码器
组合电路——3-8译码器的设计
一、实验目的
1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。
2、熟悉原理图输入及仿真步骤。
3、掌握组合逻辑电路的静态测试方法。
4、初步了解可编程器件设计的全过程。
5、理解硬件描述语言和具体电路的映射关系。
二、硬件要求
主芯片Altera EPM7128SLC84-15,时钟。
三、实验内容
描述一个3线-8线译码器,使能端为g1、g2a、g2b,地址选择端为a、b、c,输出端为总线y。
四、实验原理
三-八译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输入端低电平有效输出端从零到八记,标号为N输出端输出低电平表示有信号产生,而其它则为高电平表示无信号产生。三个输入端能产生的组合状态有八种。
电路符号:3-8译码器器工作原理框图。如图1所示。
图1
五、实验源程序:decoder3_8.vhd
library ieee;
use ieee.std_logic_1164.all;
entity decoder3_8 is
port(a,b,c,g1,g2a,g2b:in std_logic;
y:out std_logic_vector(7 downto 0));
end ;
architecture rtl of decoder3_8 is
signal dz:std_logic_vector(2 downto 0);
begin
dz<=c&b&a;
process(dz,g1,g2a,g2b )
begin
if (g1='1' and g2a='0' and g2b='0') then
38译码器的工作原理
38译码器的工作原理
38译码器是一种数字电路,用于将输入的二进制代码转换为相应的输出信号。它的工作原理如下:
1. 输入信号:38译码器通常有5个输入引脚,标记为A0、A1、A2、A3和A4。这些引脚接收二进制代码作为输入信号。每个引脚可以接收0或1的电平。
2. 译码功能:根据输入信号的组合,38译码器将选择性地激活其输出引脚之一或多个。输出引脚的数量取决于译码器的类型。
3. 输出信号:38译码器通常有8个输出引脚,标记为Y0、Y1、Y2、Y3、Y4、Y5、Y6和Y7。这些引脚可以输出高电平(1)或低电平(0),具体取决于输入信号的组合。
4. 真值表:为了理解38译码器的工作原理,可以查看其真值表。真值表列出了所有可能的输入组合及其对应的输出。通过观察真值表,可以确定输入信号与输出信号之间的关系。
总结起来,38译码器的工作原理是根据输入信号的组合选择性地激活输出引脚,将输入的二进制代码转换为相应的输出信号。
3-8译码器设计
摘要
EDA技术是以微电子技术为物理层面,现代电子设计技术为灵魂,计算机软
件技术为手段,最终形成集成电子系统或专用集成电路ASIC为目的的一门新兴技术。而VHDL语言是硬件描述语言之一,其广泛应用性和结构的完整性使其成为硬件描述语言的代表。
随着社会经济和科技的发展,越来越多的电子产品涌如我们的日常生活当
中,在日常生活中译码器起着不可忽视的作用。本设计就是运用VHDL语言设计的3-8译码器。3-8译码器电路的输入变量有三个即D0,D1,D2,输出变量有八个
Y0-Y7,对输入变量D0,D1,D2译码,就能确定输出端Y0-Y7的输出端变为有效(低电平),从而达到译码目的。
关键词 EDA 输入,输出,译码器
Abstract
EDA technology is for the physical plane microelectronics technology, modern electronic design technology for the soul, and computer software technology as the means, and finally form integrated electronic system or application-specific integrated circuit ASIC for the purpose of a new technology. And VHDL language is one of the hardware description language, which are widely applied and the
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《集成电路设计实践》报告题目:3-8译码器设计
院系:自动化学院电子工程系
专业班级:微电
学生学号:
学生姓名:
指导教师姓名:戴力职称:讲师
起止时间:2015.12.25-2016.01.08
成绩:
一、设计任务
1) 依据3-8译码器的真值表,给出3-8译码器的电路图,完成3-8译码器由电路图到晶体管级的转化(需提出至少2种方案);
2) 绘制原理图(Sedit),完成电路特性模拟(Tspice,瞬态特性),给出电路最大延时时间;
3) 遵循设计规则完成译码器晶体管级电路图的版图,流程如下:
4) 版图布局规划-基本单元绘制-功能块的绘制-布线规划-总体版图);
5) 版图检查与验证(DRC检查);
6) 针对自己画的版图,给出实现该电路的工艺流程图。
二、电路设计方案的确定
3-8译码器真值表
由三个输入端A,B,C和八个输出端Y0,Y1,Y2,Y3,Y4,Y5 ,Y6,Y7组成,输入输出用二进制表示。
从真值表可看出3-8译码器的八个输出管脚,任何时刻要么全为高电平1—芯片处于不工作状态,要么只有一个为低电平0,其余7个输出管脚全为高电平1。如果出现两个输出管脚在同一个时间为0的情况,说明该芯片已经损坏。
可通过使用三输入与非门及反相器实现功能,三输入与非门由三个pmos和三个nmos组成。
三、电路特性及其仿真
首先用S-Edit软件画出电路的模拟图,然后检查所画电路是否存在错误,将各个管子的尺寸标注出来,检查无误后点击T-Spice按钮将出现电路的网表图,然后给检测出的电路网表加上电源和输入信
号,经检查,确认添加无误后进行电路模拟仿真,即可得到电路模拟仿真图像。
网表如下:
仿真图如下:电路图如下:
结果:
据仿真结果和设计电路要求对比可以看出,所设计的电路满足设计需求,并且可以实现3-8译码器的功能,所以设计的电路是可以正常使用的。
四、版图的布局规划及基本单元设计
根据前面所画的电路模拟图,可以看出3-8译码器需要30个PMOS和30个NMOS,PMOS和NOMS一部分组成反向器,一部分组成多个逻辑门,制作版图时采用L-Edit软件,制作版图时应注意版图各层的相关设计规则及对尺寸的要求,在制作版图时需注意所有光刻孔的几何尺寸都必须大于或等于最小距离。
版图如下:
整个版图中一部分的反相器版图如下:
五、该电路实现工艺流程
n阱CMOS工艺流程:选择衬底---n阱光刻---有源区光刻---多晶硅光刻---n+区光刻----p+区光刻---光刻接触孔---金属化内连线---光刻钝化孔,便得到了反相器;然后,将nmos与pmos合理布局;最后,将pmos和coms按电路要求用金属连接起来,做钝化和封装处理;
六、总结
本次设计中,共使用了60个晶体管,其中一部分用于反相器,一部分用于逻辑门,课设中,应注意一些问题:
1、在S-edit中绘制原理图:更改每个MOS管的属性为NENH或PENH,以及管子的尺寸;
2、在T-Spice中进行原理图的仿真:在提取库文件时确保是正确的路径,并添加“tt”,仿真前输入正确的命令语句;
3、在L-Edit中绘制版图,注意各种规则,确保正确的绘制版图;
4、画版图和电路设计图时应严格按照要求电路来进行设计,应实现电路实际功能。
心得体会:在本次课设中,加深了对平时所学基础知识的理解,巩固平时所学的并进行运用,对于制作工艺流程有了更深的理解。七、设计成果汇总
版图网表:
版图仿真:
版图网表与电路图网表的对比:
版图信息表格:
读书的好处
1、行万里路,读万卷书。
2、书山有路勤为径,学海无涯苦作舟。
3、读书破万卷,下笔如有神。
4、我所学到的任何有价值的知识都是由自学中得来的。——达尔文
5、少壮不努力,老大徒悲伤。
6、黑发不知勤学早,白首方悔读书迟。——颜真卿
7、宝剑锋从磨砺出,梅花香自苦寒来。
8、读书要三到:心到、眼到、口到
9、玉不琢、不成器,人不学、不知义。
10、一日无书,百事荒废。——陈寿
11、书是人类进步的阶梯。
12、一日不读口生,一日不写手生。
13、我扑在书上,就像饥饿的人扑在面包上。——高尔基
14、书到用时方恨少、事非经过不知难。——陆游
15、读一本好书,就如同和一个高尚的人在交谈——歌德
16、读一切好书,就是和许多高尚的人谈话。——笛卡儿
17、学习永远不晚。——高尔基
18、少而好学,如日出之阳;壮而好学,如日中之光;志而好学,如炳烛之光。——刘向
19、学而不思则惘,思而不学则殆。——孔子
20、读书给人以快乐、给人以光彩、给人以才干。——培根