1.[Altium.Designer.FPGA设计指导]Altium.Designer基于FPGA嵌入式系统设计
学会使用AltiumDesigner进行电路设计和PCB制造
学会使用AltiumDesigner进行电路设计和PCB制造1. 介绍Altium DesignerAltium Designer是一款功能强大的电子设计自动化(EDA)软件,广泛用于电路设计和PCB制造。
它提供了丰富的工具和功能,能够帮助工程师进行电路设计、原型验证和批量生产。
2. 我们为什么选择Altium DesignerAltium Designer具有多个优势,使其成为许多工程师首选的设计软件。
首先,它具有直观的用户界面和友好的操作方式,即使是初学者也能够快速上手。
其次,Altium Designer支持多种电子元件库的使用,包括常见的集成电路、电容、电感等。
此外,它还提供了强大的仿真和验证工具,帮助用户进行电路分析、仿真和优化。
3. 学习Altium Designer的基础知识使用Altium Designer进行电路设计和PCB制造,首先需要了解一些基础知识。
例如,熟悉软件的界面、工具栏、菜单和快捷键。
还需要了解Altium Designer的工作流程,包括创建工程、添加电路元件、绘制电路图、进行布线、生成制造文件等。
4. 如何进行电路设计使用Altium Designer进行电路设计,可以通过创建电路图来实现。
电路图是电子电路的图形化表示,可以直观地展示电路中的各个元件之间的连接关系。
在Altium Designer中,可以通过拖放元件库中的元件、连接线和引脚,来快速绘制电路图。
此外,Altium Designer还提供了丰富的元件库,可以满足不同电路设计的需求。
5. 电路仿真和优化Altium Designer还提供了强大的仿真和优化工具,帮助工程师验证和改进电路设计。
仿真可以模拟电路中的各个元件的行为,通过分析电压、电流和功率等参数,帮助工程师了解电路的性能和特性。
优化工具则可以根据工程师设置的目标,自动调整电路参数,以达到设计要求。
6. PCB制造准备在设计完电路图后,需要进行PCB制造的准备工作。
altium designer知识点
Altium Designer知识点概述Altium Designer是一款功能强大的电子设计自动化软件,广泛用于电子工程师进行电路设计、PCB布局、模拟仿真和制造文件生成等工作。
下面将逐步介绍Altium Designer的一些重要知识点。
1. 项目创建与导入首先,在打开Altium Designer后,我们需要创建一个新项目或导入现有项目。
点击菜单栏的“File”,选择“New Project”来创建一个新项目。
然后选择项目类型,并指定项目名称和存储路径。
如果需要导入现有的项目,可以选择“File” -> “Open”,然后选择项目文件所在的位置。
Altium Designer支持多种项目文件格式,如ADP、PCBDOC等。
2. 图纸编辑与设计在项目创建后,我们需要添加图纸并进行电路设计。
点击左侧的“Projects”面板,右键点击“PCB Project”,选择“Add New to Project”,然后选择“Blank Schematic”来创建一个新的图纸。
在图纸编辑界面,可以使用各种工具和符号来绘制电路图。
例如,可以使用“Place”工具栏上的“Component”按钮来添加元件,使用“Net”按钮来连接元件之间的引脚。
还可以使用“Label”按钮来添加标签和注释等。
3. PCB布局与布线完成电路设计后,我们需要进行PCB布局和布线。
点击左侧的“Projects”面板,右键点击“PCB Project”,选择“Add New to Project”,然后选择“PCB Layout”来创建一个新的PCB布局文件。
在PCB布局界面,可以将电路图中的元件拖放到PCB板上,并进行位置调整和旋转等操作。
使用“Route”工具栏上的“Track”按钮可以绘制信号轨迹,使用“Via”按钮可以添加过孔等。
在进行布线时,需要遵循一些规则和限制,如避免信号交叉、保持信号完整性和最小间距等。
Altium Designer提供了一些自动布线和优化工具,可以帮助我们更高效地完成布线任务。
altium designer 设计规则
altium designer 设计规则Altium Designer 是一款集成电路设计软件,提供了丰富的设计规则设置,帮助工程师在设计过程中确保电路板的可靠性和性能。
本文将介绍 Altium Designer 中常用的设计规则,包括电气规则、信号完整性规则、阻抗规则、层间规则等。
1. 电气规则电气规则是保证电路板设计符合电气性能要求的关键。
Altium Designer 提供了多种电气规则设置,如电压差规则、最大电流规则和电源规则等。
电压差规则可用于检查电路板上的电压差是否超过允许范围,以避免电路板故障。
最大电流规则可用于检查电路板上的电流是否超过元件和导线的额定电流,以确保电路板工作正常。
电源规则可用于检查电源线的宽度和间距是否满足设计要求,以确保电源线的稳定性和可靠性。
2. 信号完整性规则信号完整性是保证信号在电路板上传输和接收时不受干扰的重要因素。
Altium Designer 提供了多种信号完整性规则设置,如传输线规则、时钟规则和输入输出规则等。
传输线规则可用于检查传输线的长度、阻抗匹配和信号延迟等,以确保信号的传输质量。
时钟规则可用于检查时钟信号的稳定性和相位延迟,以避免时序错误。
输入输出规则可用于检查输入输出引脚的电压和电流是否满足设计要求,以确保信号的正确传输和接收。
3. 阻抗规则阻抗匹配是保证信号在传输线上正常传输的关键。
Altium Designer 提供了多种阻抗规则设置,如差分线规则、单端线规则和微带线规则等。
差分线规则可用于检查差分信号线的阻抗匹配和长度匹配,以确保差分信号的传输质量。
单端线规则可用于检查单端信号线的阻抗匹配和长度匹配,以避免信号失真。
微带线规则可用于检查微带线的宽度和间距是否满足设计要求,以确保微带线的阻抗稳定性。
4. 层间规则层间规则是保证多层电路板设计的可靠性和性能的关键。
Altium Designer 提供了多种层间规则设置,如层间间距规则、层间电压规则和层间阻抗规则等。
altiumdesigner的用法
Altium Designer的用法1. 简介Altium Designer是一款强大的电子设计自动化(EDA)软件,广泛应用于电子设备的设计、开发和制造过程中。
它提供了全面的工具和功能,使工程师能够轻松地完成复杂电路板设计,并进行仿真、布局和制造。
2. 安装与设置在开始使用Altium Designer之前,首先需要下载并安装软件。
用户可以从Altium官方网站上获取最新版本的安装程序。
安装完成后,需要根据个人需求进行一些基本设置,例如选择默认工作目录、设置快捷键等。
3. 工程文件与项目管理在Altium Designer中,所有设计相关的文件都组织在一个项目中。
一个项目可以包含多个电路图、原理图、PCB布局以及其他相关文档。
用户可以通过创建新项目或打开现有项目来开始工作。
4. 原理图设计原理图设计是电子产品设计过程中的第一步。
在Altium Designer中,用户可以使用强大而直观的原理图编辑器来创建和编辑原理图。
该编辑器提供了丰富的元件库和连线工具,支持自动连线和元件参数设置。
5. PCB布局设计PCB布局设计是将原理图转化为实际电路板的过程。
Altium Designer提供了先进的PCB布局工具,使用户能够精确地放置元件、进行走线和进行布线规则设置。
用户还可以使用3D视图来查看电路板的外观和组装情况。
6. 元件库管理在Altium Designer中,用户可以创建自定义元件库或使用现有的元件库。
元件库包含了各种电子元器件的符号、封装和参数信息。
通过良好的元件库管理,用户能够快速找到所需的元器件,并确保设计中使用的元器件是准确和一致的。
7. 仿真与验证Altium Designer提供了强大的仿真工具,用于验证设计的功能和性能。
用户可以对电路进行各种仿真分析,例如时序分析、噪声分析和电磁兼容性分析。
通过仿真,用户可以在实际制造之前发现并解决潜在问题。
8. 制造文件生成一旦设计完成并通过验证,就需要生成制造文件以进行实际生产。
altium designer电路设计的基本流程
一、前言altium designer是一款专业的电路设计软件,广泛应用于电子产品的设计与制造领域。
本文将介绍altium designer电路设计的基本流程,帮助读者了解如何使用这款软件进行电路设计。
二、准备工作1. 确定电路设计需求:在进行电路设计之前,首先需要明确电路的功能和性能要求,包括输入输出接口、电源供应、信号处理等方面的需求。
2. 确定元器件清单:根据电路需求,确定所需的元器件清单,包括芯片、电阻、电容、电感等元器件。
3. 确定PCB尺寸和层次:确定PCB的尺寸和层次结构,包括板层、线宽、线距、特殊工艺要求等。
4. 确定布局要求:根据电路功能和PCB尺寸,确定布局要求,包括元器件的布置和连线规划。
5. 准备设计文档:准备电路设计的相关文档,包括设计说明书、元器件清单、PCB布局图等。
三、电路设计流程1. 创建项目:打开altium designer软件,点击“File”-“New”-“Project”创建新项目,输入项目名称和保存路径。
2. 添加原理图:在新建的项目中,点击“Project”-“Add N ew to Project”-“Schematic”添加原理图文件,打开原理图编辑界面。
3. 绘制原理图:在原理图编辑界面中,根据电路设计需求,使用altium designer软件的元件库,绘制电路的原理图,包括元器件的连接和参数设置。
4. 添加元器件:在原理图中添加所需的元器件,包括芯片、电阻、电容等,设置元器件的属性和参数。
5. 连接元器件:在原理图中使用连线工具连接各个元器件,构建完整的电路连接关系。
6. 生成PCB布局:完成原理图设计后,点击“Design”-“Create PCB”,将原理图转化为PCB布局图,在PCB布局界面中对元器件进行布局。
7. 连线规划:在PCB布局界面中,使用布线工具进行连线规划,根据元器件的布局位置和连接关系进行连线。
8. 设置PCB参数:在PCB布局界面中设置PCB的参数,包括板层、线宽、线距、过孔规划等。
altium designer 名词解释
Altium Designer是一款专业的电子设计自动化软件,广泛应用于电子设计工程师和电路设计师的工作中。
它由一家名为Altium Limited 的公司开发,旨在提供全面的电子设计解决方案。
1. 功能和特点Altium Designer集成了原理图设计、PCB设计、仿真和布局等一系列功能模块,使设计工程师能够在同一个评台上完成整个电子设计过程。
它支持高速数字电路设计、模拟电路设计、射频电路设计等各种类型的电路设计。
2. 用户界面Altium Designer拥有直观友好的用户界面,使其易于上手并且节省了用户的学习成本。
它支持多种操作系统评台,包括Windows和Mac OS,为用户提供了更大的灵活性。
3. 设计工具Altium Designer提供了丰富的设计工具,包括原理图设计工具、PCB布线工具、射频电路设计工具、3D模型查看工具等。
这些设计工具使设计工程师能够更加高效地完成设计工作,并且保证设计的准确性和可靠性。
4. 设计规则检查Altium Designer内置了丰富的设计规则检查功能,可以在设计过程中对电路设计进行实时检查,从而提高设计的可靠性和稳定性。
5. 仿真和分析Altium Designer提供了强大的仿真和分析功能,可以对设计的电路进行各种类型的仿真和分析,例如时序分析、信号完整性分析、电磁兼容性分析等,从而确保设计的性能和稳定性。
6. 联机协同设计Altium Designer支持多人协同设计,使设计团队能够在同一个项目上协同工作。
它提供了实时的设计协同功能,使团队成员可以实时查看和编辑设计文件,从而加快了设计工程的进度和提高了设计的质量。
7. 输出和生成Altium Designer支持多种输出格式,包括PDF、Gerber、BOM等,可以方便地生成设计文件和生产文件,从而方便设计工程师与PCB制造商和装配厂商进行交流和协作。
Altium Designer作为一款专业的电子设计自动化软件,具有丰富的功能和强大的性能,可以满足设计工程师在电子设计过程中的各种需求,是电子设计领域的重要工具之一。
AltiumDesigner开发FPGA教程
AltiumDesigner开发FPGA教程适⽤Altium Designer6.7 NB1兼容100%FPGA智能通⽤仿真开发器NanoKitLiveDesign实验教程编译:雾都魅影QQ群: 25763226深圳脑拓科技有限公司前⾔本⼿册以protel的最新⾼端版本Altium Designer 6.7为开发平台,以兼容Altium NB1的NanoKit仿真开发器为实现基础,详细地介绍了FPGA项⽬和嵌⼊式系统项⽬从设计到⽬标板实现的完整开发过程,介绍了NanoKit仿真开发器的使⽤⽅法,并介绍了Altium Designer 6.7 系统中虚拟仪器的使⽤⽅法。
本⼿册假定使⽤者对硬件描述语⾔VHDL或Verilog HDL,Altium Designer 6.7设计软件的使⽤具有⼀定的基础。
如⽤户对上述知识不了解,请查阅相关书籍。
Altium Designer 6.7增强了FPGA的开发功能,特别适⽤于个⼈FPGA开发。
其软硬件并⾏开发思想必将在不久的将来成为FPGA开发的主流思想,在国外已经慢慢地流⾏起来,并受到很⾼的评价。
Altium Designer 6.7在FPGA开发⽅⾯有以下主要特点。
(1)⽀持不依赖于FPGA⼚商即各个⼚商通⽤的数字系统开发。
Altium Designer 6.7实现了跨⼚家FPGA设计,以前FPGA每⼀个⼚家每⼀个系列的FPGA设计都需要有专门对应的下载⼯具,但是现在Altium Designer 6.7克服了这种⿇烦,实现了不受芯⽚⼚家型号约束的设计⽅法。
由于各个FPGA⼚家不同芯⽚引脚有些不同,Altium Designer 6.7提供了⼀个可以定义引脚之间连接的约束⽂件(Constrain Files),可以定义不同FPGA与PCB上的引脚对应关系。
这样就可在NanoKit仿真开发器上将设计好的FPGA逻辑关系换烧到不同的⼚家⽣产的不同系列的FPGA上;就可以依赖于不同⼚商的FPGA⽽进⾏开发。
非常实用的Altium_Designer使用教程
Altium Designer使用教程创建一个新的PCB工程在Altium Designer里,一个工程包括所有文件之间的关联和设计的相关设置。
一个工程文件,例如xxx.PrjPCB,是一个ASCII文本文件,它包括工程里的文件和输出的相关设置,例如,打印设置和CAM设置。
与工程无关的文件被称为"自由文件"。
与原理图和目标输出相关联的文件都被加入到工程中,例如PCB,FPGA,嵌入式(VHDL)和库。
当工程被编译的时候,设计校验、仿真同步和比对都将一起进行。
任何原始原理图或者PCB的改变都将在编译的时候更新。
所有类型的工程的创建过程都是一样的。
本章以PCB工程的创建过程为例进行介绍,先创建工程文件,然后创建一个新的原理图并加入到新创建的工程中,最后创建一个新的PCB,和原理图一样加入到工程中。
作为本章的开始,先来创建一个PCB工程:图6-1 PCB工程的创建1.选择File>>New>>Project>>PCB Project,或在Files面板的内New选项中单击Blank Project (PCB)。
如果这个选项没有显示在界面上则从System中选择Files。
也可以在Altium Designer软件的Home Page的Picka Task部分中选择Printed Circuit Board Design,并单击New Blank PCB Project。
2. 显示Projects面板框显示在屏幕上。
新的工程文件PCB_Project1.PrjPCB已经列于框中,并且不带任何文件,如图6-1所示。
3.重新命名工程文件(用扩展名.PrjPCB),选择File>>Save Project As。
保存于您想存储的地方,在File Name 中输入工程名Multivibrator.PrjPCB并单击Save保存。
下面我们将会创建一个原理图文件并添加到空的工程中。
Altium Designer 14原理图与PCB设计教程 第一章 Altium Designer使用基础
Choose Document to Open对话框
13
第1章 Altium Designer使用基础
1.2 Altium Designer文档管理
2.项目的建立、打开与关闭 (2)工程打开与关闭
要关闭一个已经打开的工程,需要在Projects标签中 用鼠标右键单击工程名。在弹出的菜单中选择Close Project命令即可关闭工程。或在Projects标签中鼠标 左键单击【Project】按钮,在弹出的菜单中选择 Close Project命令也可关闭工程,如图所示。
在弹出的菜单中选择Close Project
14
第1章 Altium Designer使用基础
1.2 Altium Designer文档管理
3.项目中的文件管理 (1)新建文件
在工程中,执行菜单命令File → New,在弹出的菜单 中可以选择需要新建的文件
Altium Designer简介
4
认识Altium Designer面板
面板显示工程中所有原理图元件之间 的连接网络和元件列表
打开一个工程文件中的原理图文件
1.1 Altium Designer简介
5
打开原理图后的Navigator面板
激活Favorites面板
认识Altium Designer面板
Choose Document to Open对话框
17
第1章 Altium Designer使用基础
1.2 Altium Designer文档管理
3.项目中的文件管理 (4)关闭文件
执行菜单命令File → Close即可关闭当前文件。或在 已打开的文件标签上单击鼠标右键,在调出的菜单 中选择Close文件名称即可关闭当前的文件,如图所 示,关闭名为Sheet1的原理图文件。
好用的altium designer 操作指南
好用的Altium Designer 操作指南一、简介Altium Designer 是一款功能强大的电子设计自动化软件,可以帮助工程师进行PCB(Printed Circuit Board,印刷电路板)设计和开发。
它具有丰富的功能和工具,能够满足复杂电路设计的需求。
本文将从基础操作到高级功能,为大家详细介绍如何使用Altium Designer 这一强大的工具。
二、基础操作1. 安装和启动我们需要下载并安装Altium Designer 软件。
安装完成后,双击图标启动软件。
在启动界面,选择新建项目或打开现有项目,开始进行设计工作。
2. 创建新项目在新建项目时,需要设置项目名称、存储路径、项目类型等信息。
可以选择根据现有模板创建或者从头开始设计。
在创建完成后,可以添加原理图、PCB 文档等。
3. 绘制原理图在绘制原理图时,可以使用Altium Designer 提供的丰富符号库,进行组件的选取和连线的绘制。
通过快捷键和鼠标操作,可以高效完成整个原理图的设计工作。
4. PCB 设计将设计好的原理图转换为PCB,进行布线和连接。
Altium Designer提供了强大的三维设计模块,可以帮助工程师更直观地进行布局和布线的设计。
5. 元件库管理Altium Designer 提供了完善的元件库管理功能,可以根据需要添加、编辑和管理元件库,方便工程师在设计中的元件选取和使用。
6. 输出制造文件设计完成后,可以通过Altium Designer 生成Gerber 文件、钻孔文件等制造文件,用于PCB 制造和组装。
三、高级功能1. 仿真和分析Altium Designer 提供了强大的仿真和分析功能,可以对电路进行性能和稳定性分析,帮助工程师进行优化设计。
2. 版本控制设计过程中可能会有多个版本的修改和更新,Altium Designer 提供了版本控制功能,可以帮助工程师进行版本的管理和比较,保证设计的完整性和一致性。
Altium-Designer的基本设计流程
Altium-Designer的基本设计流程1. 确定设计需求和目标在开始设计之前,需要先确定设计的需求和目标,包括电路功能、性能指标、板子的数目和大小、布局约束等等。
这一步是整个设计流程中最为重要的一步,因为它决定了设计的方向和范围。
2. 构建电路原理图在Altium Designer中,我们可以使用原理图来设计电路。
原理图是一个电路图,它由各种符号和连线组成。
我们可以在原理图中添加电阻、电容、晶体管、集成电路和其他电子元件。
在构建原理图的过程中,需要注意一些细节。
如何使用正确的元件库,如何绘制准确的电路连接,如何设置元件属性等等。
这些都需要在设计的过程中仔细考虑。
3. 设计PCB布局在完成电路原理图的设计后,接下来是进行PCB布局。
在这一步中,我们需要把电路元件布置在PCB上,同时确定它们的连接方式和走线方式。
在进行布局时,需要考虑一些因素,例如板子的大小、电路元件的数量、PCB布线的方向、板子的厚度等等。
这些都会影响到整个布局结果。
因此,在进行布局时需要认真分析电路原理图,考虑各种细节。
4. 进行走线布线在完成PCB布局后,接下来是进行走线布线。
这是整个设计中最为关键的一步,因为它决定了电路的性能和可靠性。
在进行走线布线时,需要根据电路原理图和PCB布局来决定走线的路径。
需要考虑各种因素,例如信号通路、电源分布、地面等等。
同时,还需要根据布局约束来设置DRC(Design Rule Check),以保证PCB的可靠性和良好的电气性能。
5. 进行PCB元件布置优化在完成PCB布局和走线布线后,接下来是进行PCB元件布置的优化。
这一步主要是对已有的PCB布局进行调整,以满足设计需求和目标。
在进行元件布置优化时,需要根据电路原理图和PCB布局来调整电子元件的位置和连接方式,以满足电路功能和性能指标。
需要进行反复的试验和调整,以保证结果的正确性和可靠性。
6. 进行PCB设计验证和测试在完成PCB布局和元件布置优化后,接下来是进行PCB设计验证和测试。
altium designer 设计规则
ALTium Designer设计规则一、概述ALTium Designer是一款强大的电子设计自动化 (EDA) 软件工具,供工程师和设计师使用。
设计规则是在使用ALTium Designer进行电路设计时必须考虑和遵循的一系列规定和约束。
设计规则的合理设置能够确保电路设计的正确性、稳定性和可靠性,同时也能提高设计效率和减少错误。
二、设计规则的分类设计规则可以分为以下几个方面:1. 硬件约束规则硬件约束规则主要包括元件布局规则、信号完整性规则和电气规则等。
其中,元件布局规则指导了元件的放置、方向和间距等;信号完整性规则关注信号传输的稳定性和可靠性;电气规则则包括关于电源、地线、引脚等方面的规定。
2. 信号完整性规则信号完整性规则是保证设计工程师在复杂的高速电路设计中获得可靠的数据传输的关键规则。
这些规则包括阻抗匹配、信号延迟、信号耦合和信号噪声等。
3. 电气规则电气规则关注电路的电源连接、接地和引脚定义等方面。
在设计规则中,我们需要合理设置电源和地线的连接方式,避免电源回路中的环路和返流问题。
同时,正确定义引脚的功能和约束能够确保元件在设计中得到正确的使用。
4. 材料和尺寸规则材料和尺寸规则是为了保证设计和制造之间的匹配。
这些规则包括对PCB材料、焊盘尺寸、线宽线距、过孔尺寸等的约束和要求。
三、设计规则的设置步骤在ALTium Designer中,设置设计规则可以通过以下几个步骤完成:1. 创建设计规则在设计规则管理器中创建一个新的设计规则。
可以根据具体的设计需求,选择不同的规则类型进行设置。
2. 定义规则范围设置设计规则适用的范围,包括整个设计文件、特定的设计层或者设计对象等。
3. 设置规则参数根据具体的设计要求和规范,设置设计规则的参数。
这些参数可能包括线宽线距、过孔尺寸、间距、阻抗匹配、信号延迟等。
4. 规则嵌套和优先级在ALTium Designer中,可以设置规则的嵌套和优先级。
通过合理设置规则的嵌套关系和优先级,可以确保设计规则的准确执行和符合要求。
2.[Altium.Designer.FPGA设计指导]Altium.Designer基于FPGA系统设计功能培训
ANSI/EIA:美国国家标准化组织/电子工业联合会
17
数字逻辑电路设计
之IP Core
通过实例创建一个新的IP Core 选择菜单File->New->Project>Core Project命令,创建新的内核 工程,并保存工程为 KeyPadScanner.PrjCor。 创建或者添加用于完成IP Core所 需要的原理图,右键点击该工程, 选择Add Existing to Project,选择 在安装目录下\Examples\FPGA Processors\I2C DAC and ADC TSK165\KeyPadScanner.SchDoc, 此时该原理图文档被添加到工程中, 并保存。 用户也可以根据自己的需要,修改 原理图或者添加HDL描述文件。
21
数字逻辑电路设计
之IP Core
在工程栏中,打开原理图文件,返 回到原理图编辑环境下,选择菜单 Design->Synthesize all Configurations,系统会自动产生 中的VHDL文件和用于布局布线的 EDIF网表文件,以及综合后的日 志文件,所有这些文件都会显示在 Generated(配置文件)文件夹里; 如果系统信息中报错,则需要返回 原理图编辑环境下,修改错误后重 新综合。
4
FPGA系统控制界面
文件和 项目管理 综合, 综合, 布局及布线, 布局及布线 下载的 过程控制
物理或 ‘硬’设备链 硬 Nexus 元件层次 逻辑或 ‘软’ 设备链 软
5
系统控制功能
JTAG或称 ‘硬’链
提供综合及器件编程控制 提供物理硬件访问及边界扫描(boundary scan) 多个Nanoboard开发板可被连接在一起
Altium_Designer实验实训指导书
Altium Designer summer 09实验指导目录实验一原理图设计基础 (1)实验二电路原理图设计进阶 (6)实验三绘制原理图元件库 (9)实验四印刷电路板设计 (14)实验一原理图设计基础一.实验目的1.认识Altium Designer 10窗口界面2.熟悉原理图设计环境3.熟悉原理图设计步骤和方法4.掌握原理图元件库的使用二.实验内容绘制单片机基本应用电路原理图三.实验步骤1.新建项目文件1)执行菜单命令【文件】→【新建】→【项目】→【PCB 工程】,执行完后在Project 工作面板中将出现如图1-1所示项目文件。
2)执行菜单命令【文件】→【保存工程】,弹出保存路径菜单,确定保存路径和输入项目文件名为“单片机基本应用电路”保存。
如图1-2所示。
图1-1 新建项目文件图1-2 保存项目文件2.新建原理图文件执行菜单【文件】→【新建】→【原理图】,在Project工作面板的项目文件下新建一个原理图文件Sheet1.Schdoc,保存为“单管放大电路.Schdoc”保存后效果如图1-3所示。
图1-3 新建并命名原理图文件图1-4 原理图图纸设置对话框3.原理图图纸设置执行菜单【设计】→【文档选项】,弹出【文档选项】对话框。
如图1-4所示,图纸类型设置为A4,显示标准标题栏,可视栅格、捕捉栅格均设置为10,电气栅格设置为4。
4.装载原理图元件库电路中所包含的元件类型有:电阻、电容、三极管。
这些常用的元件在集成库Miscellaneous Devices.IntLib中都可以找到。
默认情况下,创建原理图文件时,该库会自动加载,若在库列表中无此元件库,可通过下面方法加载。
在Libraries工作面板上单击【库…】,弹出如图1-5所示对话框。
单击选项下方【添加库】按钮,选择添加Miscellaneous Devices.IntLib,单击打开添加库完成,如图6所示。
图5 添加元件库图6 添加Miscellaneous Devices.IntLib 5.放置调整元件1)在Miscellaneous Devices.IntLib中,在元件库中查找电阻如图1-7所示。
怎样用Altium设计FPGA
STEP2: 用VHDL程序实现特殊模块: 程序实现特殊模块: 程序实现特殊模块
1. 创建 创建VHDL空白文件 空白文件: 空白文件
2. 为新的文件命名 为新的文件命名:
3.写入 写入VHDL程序: 程序: 写入 程序
STEP3: 生成原理图元件符号和元件库
1. 创建项目的 创建项目的VHDL元件库 元件库
2. 为新的元件库文件命名 为新的元件库文件命名:
3. 添加 添加VHDL文件到元件库 文件到元件库
添加的顺序即为编译的顺序,可 添加的顺序即为编译的顺序 可 在编辑区用鼠标左键更改
4. 编译元件库中 编译元件库中VHDL文件 文件 在编辑区右键点击
选择编译标准
查看编译信息
5. 创建项目元件库
地和电源
导线
总线
节点
总线分支
放置元件
放置端口
根据VHDL创建图纸符号 5. 根据 创建图纸符号
选择要生成的VHDL文件 文件 选择要生成的
点击
图纸符号属性对话框
STEP5: 创建 创建VHDL测试平台 测试平台
1. 从原理图创建 从原理图创建VHDL程序 程序: 程序
2. 从产生的 从产生的VHDL程序创建测试平台 程序创建测试平台: 程序创建测试平台
在端口处于放置状态时,按Tab键修改端口属性: 在端口处于放置状态时, 键修改端口属性: 键修改端口属性
文字对齐方式 端口方向 端口属性 端口名称
注意:修改端口风格需要先取消端口方向选项 注意:修改端口风格需要先取消端口方向选项 端口风格需要先取消端口方向 在编辑区右键点击并选择
取消勾选
5. 为已放置好的元件连线 网络标号
查看编译信息
编译项目
Protel中进行 FPGA设计
首先说明一下,Altium Designer的Protel中进行 FPGA设计可以采用:语言设计输入,原理图符号设计输入或者混合输入。
象大多数FPGA 设计软件一样,我们推荐用户采取层次化的设计方式:底层设计,上层例化(调用)。
在底层用语言或原理图符号设计好一个个文件,并将这些文件生成图表符,上层在原理图的环境中将这些代表各个文件的图表符连接起来,并且可以使用我们提供的各种免费的模块(如虚拟仪器,处理器,外设等),来完成设计与测试。
下面的例子中,我们对一个VHDL 文件进行仿真,当然,我们也可以对原理图文件建立Testbench 进行仿真。
在DXP主页面下,鼠标左键点击File\New\Project\FPGA Project,左边的工程资源管理器中就出现了一个名为发FPGA_Project1.PrjFpg 的FPGA 工程, 现在可以左键点击File\Save Project as 来改变项目的保存路径和项目名称。
在项目名称上右键点击,在引处的菜单中选择Add new to Project\VHDL Document ,这样,在当前的工程当中添加了一个新的VHDL文件VHDL1.Vhd, 在VHDL文件上右键点击,在引处的菜单中选择Save as 来改变VHDL文件名称和保存路径。
现在,我们已经在一个FPGA工程中添加了一个空白的VHDL文件了。
接下来,我们在空白的VHDL文件中输入VHDL源代码,保存文件。
完成VHDL文件设计后,左键VHDL文件名称,在引出的菜单中左键点击Compile Document xxx.Vhd ,(xxx是用户自己定义的文件名),对这个VHDL文件进行编译,如果有什么错误信息就会自动启动消息窗口(Message),来提示用户那里有什么样的错误。
经检查没有错误后,保存VHDL文件。
左键双击VHDL文件名称,打开VHDL文件,在当前的文本编辑器环境下,左键点击Design\Creat VHDL Testbench, 这时,会自动在本工程中增加一个当前entity的Testbench文件, 这个Testbench文件已经引用了当前的entity ,并且将当前entity的所有输入列出来,用户只要给输入加上需要的测试数据就可以仿真了。
AltiumDesigner设计流程、经验与技巧总结
AltiumDesigner设计流程、经验与技巧总结AltiumDesigner设计流程、经验与技巧总结2012级电子信息科学与技术01班20126666 张益达一、AltiumDesigner软件简介Altium Designer 是原Protel软件开发商Altium公司推出的一体化的电子产品开发系统,主要运行在Windows操作系统。
这套软件通过把原理图设计、电路仿真、PCB绘制编辑、拓扑逻辑自动布线、信号完整性分析和设计输出等技术的完美融合,为设计者提供了全新的设计解决方案,使设计者可以轻松进行设计,熟练使用这一软件必将使电路设计的质量和效率大大提高。
电路设计自动化 EDA(Electronic Design Automation)指的就是将电路设计中各种工作交由计算机来协助完成。
如电路原理图(Schematic)的绘制、印刷电路板(PCB)文件的制作、执行电路仿真(Simulation)等设计工作。
随着电子科技的蓬勃发展,新型元器件层出不穷,电子线路变得越来越复杂,电路的设计工作已经无法单纯依靠手工来完成,电子线路计算机辅助设计已经成为必然趋势,越来越多的设计人员使用快捷、高效的CAD设计软件来进行辅助电路原理图、印制电路板图的设计,打印各种报表。
Altium Designer 除了全面继承包括Protel 99SE、Protel DXP在内的先前一系列版本的功能和优点外,还增加了许多改进和很多高端功能。
该平台拓宽了板级设计的传统界面,全面集成了FPGA设计功能和SOPC设计实现功能,从而允许工程设计人员能将系统设计中的FPGA与PCB设计及嵌入式设计集成在一起。
二、AltiumDesigner设计流程AltiumDesigner的一般设计流程如下:一般而言,一个电路设计要经过以下步骤:1. 建立pcb设计工程文件:(.PrjPcb文件);2. 绘制电路原理图,对元件属性赋值: (.SchDoc文件);3. 编译原理图,以消息方式显示错误;4. 生成网络表 (.NET文件,系统自动生成);5. 生成PCB板图,绘制板框:(.PcbDoc文件);6. 调入网络表,完成元件位置布置,设置布线规则,完成全部布线;7. 电路板规则检查 (.html文件,系统自动生成)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
图3 集成库
4
基于FPGA的硬件设计
设计中所用到的器件及器件所在集成库参见下表
器件名称 TSK51A_D RAMS_8x1K CLOCK_BOARD 所在的FPGA 集成库 FPGA Processors.IntLib FPGA Memories.IntLib FPGA NanoBoard Port-Plugin.IntLib
图11 自动标注元件
16
基于FPGA的硬件设计
11.添加配置文件,选择 Project\Configuration Manager…,在弹出的窗口(图14) 的左下角Configurations选项点 击ADD,在新弹出的窗口中输入 新的配置名Test,点击ok,然后 在Constraints Files选项点击 ADD,弹出对话框如图12所示, 选择该软件安装目录下 \Altium2004\Library\Fpga,选择 NB1_6_EP1C12Q240(取决于 设计中用到的子板或FPGA器 件),点击打开,结果见图13, 选中Test下的复选框。最后点击 Ok。配置文件便添加到了设计中, 见图15。
4.右键点击Test.PRJEMB工程,在弹出窗口中选择Recompile Embedded Project Test.PRJEMB,编译无误后即可进行系统级设置。
图21 编译结果
28
内容
系统级设置及下载
29
系统级设置及下载
以上介绍了基于FPGA的硬件设计和嵌入式软件设计步骤,下面来介绍系统级的设计 和调试。
End Case;
End Process; End RTL;
11
基于FPGA的硬件设计
结果如图7所示
图7 VHDL输入
12
基于FPGA的硬件设计
8.在原理图编辑界面下,选择菜单Design\Create Sheet Symbol From Sheet,然后 在弹出的窗口中选择Tset1.VHDL,然后点击OK。见图8
26
基于FPGA的嵌入式软件设计
3.保存Test.C文件,在C语言编辑界面下选择菜单Project\Project Options,在弹出的窗 口中选择Configuration项,在下拉菜单中选择Tasking 8051,其余选项按默认处理,完 成后,点击Ok。
图20 编译器选项
27
基于FPGA的嵌入式软件设计
图26 与开发板建立连接
34
系统级设置及下载
图27给出了当前开发板上用到的器件,系统通过扫描自动将器件显示在界面上,另外器 件上方依次有带有指示灯的四个工作区,分别为编译、综合、适配,下载 四个过程,单 击每个区域则完成相应的过程。也可直接点击Program FPGA完成所有过程。完成后如 图28所示,其中,文本框部分为当前的配置情况。
图16 检查错误信息
21
内容
基于FPGA的嵌入式软件设计
22
基于FPGA的嵌入式软件设计
1.选择菜单File\New\Embedded Project , 在工程栏中将会显示新建的 Embedded 工程名,选择菜单 File\Save Project ,在对话框中修改 工程名称为Test,然后Save。在工程栏 中选择File View 选项,在工程中将会 列出所有属于当前工程的文件。
图17 新建嵌入式工程
23
基于FPGA的嵌入式软件设计
2.右键点击嵌入式工程,在弹出窗口中选择Add New To Project\C File,如图18所示
图18 新建嵌入式文件编辑
24
基于FPGA的嵌入式软件设计
将文件以Test文件名保存,然后在编辑界面下输入C语言代码,如图19
图19 C语言输入
图27 系统扫描到的器件
图28 下载过程
35
系统级设置及下载
完成编译、下载等过程后,就可以在开发板上开到实际结果了,图29为设计中所用到的 处理器内核,在只需改动软件的情况下,直接可通过此界面完成对软件的编译及下载过 程,而无需再将硬件下载一遍。
图29 处理器内核
36
总结
以上完成了从整个基于Altera Cyclone EP1C12 型号FPGA 项目工程的设计, 通过将软硬件设计方案在NanoBoard NB1 系统开发板上实际运行验证,再逐 步优化,反复下载验证,最终完成项目的开发。
37
结束
谢谢各位
有关Altium 公司及其产品详细情况, 请浏览:
when X“07" => Q <= X"10";
when X“08" => Q <= X"17";
10
基于FPGA的硬件设计
when X“09" => Q <= X"20";
when X“0A" => Q <= X"2E"; when X“0B" => Q <= X"41"; when X“0C" => Q <= X"5C"; when X“0D" => Q <= X"81"; when X“0E" => Q <= X"B6"; when others => Q <= X"FF";
TEST_BUTTON
LED NEXUS_JTAG_CONNECT NEXUS_JTAG_PORT OR2N1S FPGA_STARTUPx
FPGA NanoBoard Port-Plugin.IntLib
FPGA NanoBoard Port-Plugin.IntLib FPGA NanoBoard Port-Plugin.IntLib FPGA Generic.IntLib FPGA Generic.IntLib FPGA Peripherals.IntLib
图22 元件关联
30
系统级设置及下载
2.建立FPGA硬件和嵌入式软件之间的 关联,在Project窗口中,选择 Structure Editor一项如图23所示,点 击Test.PRJEMB将其拖拽到U1上,结 果如图24所示,现在软件和硬件的关联 已经建立起来了。重新选中File View 一项,将设计文件保存。
图6 新建VHDL文件
8
基于FPGA的硬件设计
);
7.在VHDL文档界面下输入VHDL语言:
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Test1 IS PORT( D Q : IN std_logic_vector(7 downto 0); : OUT std_logic_vector(7 downto 0)
图8 将VHDL产生图表符
Байду номын сангаас13
基于FPGA的硬件设计
生成如图9所示的图表符,
图9 将VHDL产生图表符
14
基于FPGA的硬件设计
9.按图10将图表符连接好
图10 连接图表符
15
基于FPGA的硬件设计
10.给各元件加上标注,选择菜单Tools\Annotate quiet…,在弹出的对话框中选择ok, 原理图中的元件就自动排列完成了。
end Test1;
Architecture RTL OF Test IS Begin Process(D) Begin Case D Is
9
基于FPGA的硬件设计
when X“00" => Q <= X"00";
when X“01" => Q <= X"01"; when X“02" => Q <= X"02"; when X“03" => Q <= X"03"; when X“04" => Q <= X"05"; when X“05" => Q <= X"07"; when X“06" => Q <= X"0B";
图12 添加约束文件
17
基于FPGA的硬件设计
图13 添加配置
18
基于FPGA的硬件设计
图14 配置窗口
19
基于FPGA的硬件设计
图15 配置文件
20
基于FPGA的硬件设计
12.保存项目文件,原理图文件及VHDL 文件,选择Project\Recompile FPGA Project Test.PRJFPG,在原理图编辑 环境下点击窗口底部的System选项, 选择Messages如图16所示,查看是否 有错误发生,检查修改完毕后,可以开 始进行嵌入式软件设计了。
图23 软硬件关联
31
系统级设置及下载
图24 关联已经建立
32
系统级设置及下载
3.选择菜单View\Devices View,器件界面将被打开,如图25所示。
图25 器件界面
33
系统级设置及下载
点击Live复选框,系统会扫描到当前的开发板使用情况(之前请确认开发板和用户电脑 已经正确连接并且已经上电)。如图26所示。
5
基于FPGA的硬件设计
4.将所有器件按下图所示放置好
图4 放置器件
6