计数器及数码显示综合设计

合集下载

七段数码管模10计数器的设计

七段数码管模10计数器的设计

总结与展望
本次演示的优点在于详细介绍了七段数码管模10计数器的整个设计流程,包 括电路设计和软件设计两个主要方面,使得读者可以全面了解该计数器的设计过 程。此外,本次演示还给出了综合测试的具体步骤和方法,为读者提供了实际的 参考依据。
总结与展望
然而,本次演示也存在一些不足之处。例如,对于电路设计和软件设计的具 体细节没有进行深入的探讨,可能导致某些读者在阅读时存在一定的困难。此外, 本次演示并未涉及到七段数码管模10计数器的故障排除和修复方法,这在实际应 用中也是非常重要的一个方面。
软件设计
1、确定程序的控制流程和算法。我们需要实现模10计数器的计数功能,并将 其输出信号转换为七段数码管的输入信号。
软件设计
2、使用编程语言(如C语言或汇编语言)编写程序代码。代码应该包括模10 计数器的计数逻辑和七段数码管的显示逻辑。
软件设计
3、将编写好的程序代码上传到微控制器(如单片机)中,并设置好相关的参 数。
此外,随着科技的不断发展,新的材料和工艺不断涌现,注塑模的设计将面 临更多的挑战。因此,未来的研究需密切行业发展趋势,结合新的技术和材料, 探索更加高效和环保的注塑模设计方法。
内容摘要
在当今的电子设备领域,数码管显示仍然被广泛使用,尤其在需要实时信息 显示的地方,如计时器、计算器、仪表板等。数码管显示方法以其可靠的性能、 简单的结构和易于读取的特点,得到了广泛的应用。本次演示将对两种常见的数 码管显示方法——七段显示和点阵显示进行比较,分析它们的显示效果和应用场 景。
3D打印在食品中的应用举例
1、定制化甜点:通过3D打印技术,可以生产出具有复杂形状和结构的甜点, 如定制的巧克力、蛋糕和冰淇淋等。
3D打印在食品中的应用举例

显示计数器的设计实验报告

显示计数器的设计实验报告

数字电路与逻辑设计实验报告
(一)实验名称:显示计数器的设计。

(二)实验目的:熟悉同步、异步计数器的工作原理及应用。

掌握任意进制计数器的设计方法。

(三)实验内容:掌握双二-五-十进制计数器74LS390的功能。

利用74LS390设计一个模18的计数器,使用555定时器产生计数脉冲信号,计数结果用数码管显示。

利用示波器观察CP、1QA、1QB、1QC、1QD、2QA的波形,并在报告中绘制。

(四)模拟电路上的运行结果:
(五)心得体会:
此次计数器的有关实验,不仅帮我巩固了计数器相关方面的知识,而且让我懂得和体会到了计数器功能测试的方法,并且也掌握了一些计数器的设计方法。

与此同时,还让我熟悉了同步、异步计数器的工作原理和应用。

虽然刚开始的时候还是遇到了一些难于解决的问题,但最后经过老师的讲解和自己大胆的尝试操作后,最终问题都迎刃而解了。

(六)思考题解答:。

multisim仿真教程计数器译码器数码管驱动显示电路

multisim仿真教程计数器译码器数码管驱动显示电路

将对话框中Node name改成与数码管相对应 的符号A。其他与逻辑分析仪的输入端的连 线都以此法行之,点击仿真开关或按F5键进 行仿真,计数器的输出和数码管的波形时序 关系则立即直观的被显示在“Logic Analyzer—XLA1”的面板窗口中。见图 12.7.2。
图12.7.3 Node对话框
由输出端QB和QD经逻辑组合电路接至计数器 (LOAD)端,构建计数进位阻塞电路。在设 计时可根据需要,由相应的输出端构建组合 逻辑电路,从而实现不同进制的计数器。
图12.7.1 计数器、译码器、数码管驱动显示电路
从虚ห้องสมุดไป่ตู้仪器中取逻辑分析仪XLA1,其上有1~F 共16个输入端,1~4端分别于计数器的四个数 据输出端QA~QD相连,第5~11端 分别与数码 管的七段A~G相连,第12端接CLK脉冲输入端。 用鼠标双击逻辑分析仪,将出现逻辑分析仪面 板窗口如图12.7.2所示。
图12.7.2 时钟脉冲、输入、输出波形时序关系图
改变逻辑分析仪Clock区(Clock/Div)的个 数,从“1”调到“32”。在图12.7.2的左侧 显示的号码为原理图的节点号码,其并不能表 示出计数器输出端和数码管的段位字母,显示 不用鼠标左键双击与逻辑分析仪“1”号输入端 连接的图线,出现如图12.7.3所示对话框。直 观,所以要对原理图进行编辑。

计算器的总体设计理念

计算器的总体设计理念

计算器的总体设计理念
计算器作为一种常见的办公用品,其设计理念应该注重实用性、便携性和易用性。

首先,计算器应该具备基本的四则运算功能,并且能够进行复杂的科学计算和统计分析。

其次,计算器的外观应该简洁大方,按钮布局合理,操作方便。

另外,计算器的尺寸应该适中,便于携带和使用。

最后,计算器的屏幕显示应该清晰,字体大小适中,能够满足不同人群的需求。

在总体设计理念上,计算器应该追求实用性和美观性的平衡。

设计师应该充分
考虑用户的需求和习惯,设计出符合人体工程学的按钮和屏幕布局,使得用户在使用过程中感到舒适和便利。

同时,计算器的外观设计也应该注重简约大方,符合现代审美观念,使得计算器不仅是一种实用工具,更是一种时尚配饰。

总的来说,计算器的总体设计理念应该是实用、便携、易用和美观。

只有这样,计算器才能真正成为人们办公学习的好帮手,成为人们生活中不可或缺的一部分。

数码显示计数器教具巧制作

数码显示计数器教具巧制作
B C
L T
VC C

V c o q q Q q C — c C ^ l c D h L D
2 电 路 工 作 原 理 、
电路原 理图如图5 所示 :


B , O IRB 冉 Bl O





正常计数时图中7L 10 4 S 6的 、 、 T 、 T 端和7L 4 历 C PC T 4S8 的 、 / 面 、 端应置 于高电平。 面 为使计 数脉 冲准确 无误 , 电路 中加 了一 个 由RS 发器组 在 触
() 3灯测试功能 ( = )此 时面 /丽 端作为输出端 , 端 而 0。 厅 输入低 电平信号 时, 输出全为… ’ 1, 显示器7 个字段都点亮。 该功能用 于7 段显示器测试 , 别是否有损坏的字 段 。 判
弓 脚 图 l
图3 图4
( 动态灭零功能( = , = )此时面 / 4 ) 万 1瓦 1。 丽 端也作为输 数码管共I+管脚 。 O 其中C M为公共端 , 电源负极。 分别 O 接 ag 出端 , 端输入高 电平信号 , 而 而 端输入低电平信号 , 若此时 表示数码的7 个显示段 ,p d 表示 小数点。

一三 l -一
(消隐功能( = ) 2 ) 面 0。 此时面 / 面 端作为输入端, 该端输入
低 电平信号时 , 无论 历 和 面 输入什么 电平信号 , 不管输ADC A . B
为什么状态 , 输出全为 … 7 0,段显示器熄灭。 该功能主要用于多显示 器 的动态 显示 。

DC BA= 00 输 出全 为 … , 示 器 熄 灭 , 显 示 这 个 零 。 B O0 , 0’显 不 DC A≠ 14集成 与非 门 7 L 0 . 4 S0 0 则对显示无影响 。 , 该功 能主要用于多个7 段显示器 同时显示时熄 7 L 0 为两输入 四与非 门, 4 S0 内含有 四个与非 门, 每个 与非门有 灭高位的零 。 2 输 入 端 ,个 输 出 端 , 引脚 排列 如 图4 示 。 个 1 其 所

计数器逻辑功能和设计

计数器逻辑功能和设计

2.5 计数器逻辑功能和设计1.实验目的(1)熟悉四位二进制计数器的逻辑功能和使用方法。

(2)熟悉二-五-十进制计数器的逻辑功能和使用方法。

(3)熟悉中规模集成计数器设计任意进制计数器的方法。

(4)初步理解数字电路系统设计方法,以数字钟设计为例。

2.实验仪器设备(1)数字电路实验箱。

(2)数字万用表。

(3)数字集成电路:74161 4位二进制计数器74390 2二-五-十进制计数器7400 4与非门7408 4与门7432 4或门3.预习(1)复习实验所用芯片的逻辑功能及逻辑函数表达式。

(2)复习实验所用芯片的结构图、管脚图和功能表。

(3)复习实验所用的相关原理。

(4)按要求设计实验中的各电路。

4.实验原理(1)计数器是一个用以实现计数功能的时序逻辑部件,它不仅可以用来对脉冲进行计数,还常用做数字系统的定时、分频和执行数字运算以及其他特定的逻辑功能。

计数器的种类很多,按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;根据计数进制的不同,分为二进制、十进制和任意进制计数器;根据计数的增减趋势分为加法、减法和可逆计数器;还有可预置数和可编程功能计数器等。

(2)利用集成计数器芯片构成任意(N)进制计数器方法。

①反馈归零法。

反馈归零法是利用计数器清零端的清零作用,截取计数过程中的某一个中间状态控制清零端,使计数器由此状态返回到零重新开始计数。

把模数大的计数器改成模数小的计数器,关键是清零信号的选择。

异步清零方式以N作为清零信号或反馈识别码,其有效循环状态为0~N-1;同步清零方式以N-1作为反馈识别码,其有效循环状态为0~N-1。

还要注意清零端的有效电平,以确定用与门还是与非门来引导。

②反馈置数法。

反馈置数法是利用具有置数功能的计数器,截取从Nb到Na 之间的N个有效状态构成N进制计数器。

其方法是当计数器的状态循环到Na时,由Na构成的反馈信号提供置数指令,由于事先将并行置数数据输入端置成了Nb 的状态,所以置数指令到来时,计数器输出端被置成Nb,再来计数脉冲,计数器在Nb基础上继续计数至Na,又进行新一轮置数、计数,其关键是反馈识别码的确定与芯片的置数方式有关。

基于Proteus的数码管动态显示与计数设计

基于Proteus的数码管动态显示与计数设计

www�ele169�com | 73应用技术0 引言随着社会的不断进步与发展,不同类型与性能的数码管相继发明出现。

数码管可以显示数字与相应信息,其亮度高、控制简单、性能稳定、呈现速度即时等诸多优点,使得数码管在电子设计应用中得到广泛应用。

本文运用Proteus 仿真软件,利用汇编语言进行编码,将程序写入并编译仿真实现数码管的动态显示与设计。

1 Proteus 简介Proteus 是一款高性能的EDA 工具软件,配置有完善的电子设计开发环境,器件库齐全,功能形象。

可进行原理图设计、搭建、仿真,PCB 设计等多功能操作。

支持C51、ARM、DSP 诸多处理器。

在软件中进行虚拟仿真,力求实证现实;在Proteus 软件中可直接在原理图搭建完成后,进行编译输出得到结果,进行实时电路分析与实物仿真。

Proteus 软件大大缩短了设计时间,降低器件损耗的开发成本,途径灵活,仿真结果准确,在实际开发与教学中得到广泛应用。

2 数码管动态显示与计数工作原理■2.1 定时器结构与原理定时器T0/T1的结构如图1所示,其中振荡器经12分频后作为定时器的时钟脉冲,T1引脚为外部计数脉冲输入端,通过开关进行选择。

反相器,或门,与门共同构成启/停控制信号。

TH 和TL 为加1计数器,TF 为中断标志。

每接收到一个脉冲,加1计数器自动加1,当计数器中的数被加为0时产生溢出标志,TF 将被置1。

计数器工作方式的选择和功能的实现需要配置相应的寄存器TMOD 和TCON。

■2.2 脉冲产生利用单片机U1 P3.0口进行脉冲的输出,通过定时器模式选择与初值的设定,完成定时器定时功能的实现。

运用定时器进行端口定时控制,实现每1ms 高低电平变换。

就可以实现一个占空比为50%的矩形脉冲输出。

图1 定时器T0(T1)结构图■2.3 脉冲计数利用单片机U1 P3.0口输出的脉冲连接到单片机U2的中断INT0口P3.2,通过脉冲的高低电平变换触发中断0,进行脉冲个数的计数,再通过数码管显示出数字信息。

计数器的设计

计数器的设计

计数器的设计
计数器是一种电子数字电路,用于记录某个事件或进程的次数。

设计计数器的步骤如下:
1.确定计数器的位数:计数器的位数决定了它能够计数的最大值。

例如,一个
8位二进制计数器可以计数0到255之间的所有整数。

根据实际需求,选择适当的位数。

2.设计计数器的时钟输入电路:计数器的时钟输入决定了它何时进行计数。


常使用晶体振荡器或者其他时钟源来提供计数器的时钟信号。

3.选择计数器的计数模式:计数器可以分为同步计数器和异步计数器。

同步计
数器的各个位同时进行计数,而异步计数器的各个位独立进行计数。

根据具体需求选择合适的计数模式。

4.选择计数器的计数方式:计数器可以被设计为向上计数或向下计数。

向上计
数表示计数器的值递增,而向下计数表示计数器的值递减。

根据具体需求选择合适的计数方式。

5.设计计数器的清零电路:计数器需要在一些特定的时刻进行清零操作,以便
重新开始计数。

为此,需要设计清零电路,使计数器的值归零。

6.设计计数器的输出电路:计数器的输出电路将其计数器的值转换成数字形式
或者其他需要的形式,例如LED显示屏、七段数码管等。

7.选取适当的计数器芯片:根据具体需求选择合适的计数器芯片,例如74LS161、
74LS163等,这些芯片可以快速地实现基于上述设计步骤的计数器电路。

需要注意的是,在设计计数器时,应当根据实际情况进行仿真测试,确保其正常工作并满足设计要求。

实验_六计数、译码和显示电路(Y)

实验_六计数、译码和显示电路(Y)

十进制计数器 CT74LS160(162)与二进制计数器 74LS161(163) 比较
Q0
Q1
Q2
Q3
Q0
Q1
Q2
Q3
CP
CTT CTT CTP CT74LS161 CO CTP CT74LS160 CO CT74LS163 CT74LS162 (162)与 CR LD D0 D1 D2 D3 D3 CP CR LD D0 D1 D2CT74LS160 CT74LS161(163)有何不同? CR LD
0 1 2 3 4 5 6 7 8 9 10
也可取 D3 D2 D1 D0 = 0011 LD = CO CO = Q3 Q0
方案 2:用 “160” 的后七个状态 0011 ~ 1001实现七进制计数。
取 D3 D2 D1 D0 = 0011 ,LD = CO
1 CP
CTT Q0 Q1 Q2 Q3 CTP CT74LS160 CO
00 0 0
01 0
Z
11 0 0
10 1
Q3 Q2 Q1
n +1 n +1 n +1
= Q 2n
= Q 1n = Q 3n
即:
Q3n+1(010)=1, Q3n+1(101)=0
Q2n+1(010)=0 , Q2n+1(101)=1 Q1n+1(010)=1 , Q1n+1(101)=0
010 101
Z = Q 3n Q 2n 自启动失败, 改变 Q1:
Q1
n +1
n n = Q3n + Q2 Q1
010
101
这样:Q1n+1(010)=1, Q1n+1(101)=1 明显的, 能够自启动

二十四进制计数器设计

二十四进制计数器设计

目录摘要 (1)1. 设计任务 (2)1.1 设计目的 (2)1.2 设计指标 (2)1.3 设计要求 (2)2.设计思路与总体框图 (3)3.系统硬件电路的设计 (3)3.1 555多谐荡电路 (3)3.2 计数器电路 (5)3.3 译码和显示电路 (6)4.系统设计仿真 (6)4.1各功能元件的选用与分析 (6)一.74LS48译码器 (6)二. 74LS08芯片 (7)三. 计数及译码显示 (8)四. 共阴极七段数码管显示器 (10)五.电阻 (11)六.电容 (15)4.2仿真原理总设计图 (17)5. 系统硬件焊接与调试 (18)5.1焊接步骤 (18)5.2元件清单 (18)5. 3实物图 (19)5.2硬件电路测试 (20)总结 (21)致谢 (22)参考文献 (23)二十四进制计数器设计摘要:24进制数字钟是一种用数字电路技术实现时计时的装置,与机械式时钟相比具有更高的准确性和直观性。

此次设计与制作24进制电子数字钟时计数、译码、显示电路需要了解组合逻辑电路和时序逻辑电路;了解集成电路的引脚安排;了解各种时计数、译码芯片的逻辑功能及使用方法;了解数字钟的原理。

本次设计是基于24进制电子数字钟的原理,实现具有24进制清零功能的电子钟,它主要由脉冲、二-五-十进制加法器74LS90、译码器74LS48、共阴极LED数码管等四个模块构成。

脉冲利用555设计一个多谐振荡器。

各功能模块multisim 软件中描述出,然后将其打包成可调用的元件,再利用原理图输入法将各模块按功能连接起来就得到顶层文件的原理图。

这时,再进行时序仿真、引脚锁定和嵌入逻辑分析仪之后,就编译下载至硬件中,选择正确的模式和各种设置后即可实现这次设计所要求的功能。

关键词:加法器;译码器;显示数码管1. 设计任务1.1 设计目的1. 了解计数器的组成及工作原理。

2. 进一步掌握计数器的设计方法和计数器相互级联的方法。

3. 进一步掌握各芯片的逻辑功能及使用方法。

数码管显示设计与实现

数码管显示设计与实现

数码管显示设计与实现数码管是一种常见的数字显示器件,它由多个数字显示单元构成,每个数字显示单元代表一个数字,可以通过控制开关开启或关闭相应的数字显示单元,进而显示目标数字。

数码管广泛应用于计时器、计数器、电子钟等数字显示设备中。

首先是数码管的类型选择。

常见的数码管有共阳和共阴两种类型,它们的工作原理不同。

共阳数码管是指当对应的引脚为高电平时,对应的数码管段亮;而共阴数码管则是对应引脚为低电平时,数码管段亮。

根据实际需求和使用的电路驱动方式,选择合适的数码管类型。

其次是控制电路的设计。

控制电路主要负责数码管的开关控制。

对于共阳数码管,可以使用PNP晶体管作为开关,当对应的PNP晶体管导通时,对应的数码管段亮;对于共阴数码管,则可以使用NPN晶体管作为开关。

在电路设计过程中,需要根据数码管的类型选择合适的晶体管。

数码管的驱动方式有两种:逐位驱动和逐段驱动。

逐位驱动是逐个数字显示单元地显示每个数字,以达到整体显示的效果;而逐段驱动则是控制每个数字显示单元的段选,可以同时显示多个数字。

在选择驱动方式时,需要根据实际需要和电路复杂度来进行选择。

微控制器的选择及编程也是数码管显示设计与实现的重要环节。

微控制器可以提供更加灵活和方便的控制方式,通过程序控制可以实现各种复杂的显示效果。

选择合适的微控制器时需要考虑其引脚数目、IO口数量、计时器、PWM等功能是否满足要求。

在编程方面,可以通过控制引脚的电平来控制数码管的开关状态,进而实现数字的显示。

在数码管显示设计与实现中,还需要考虑一些细节问题,例如数码管的电流限制、数码管的接线、电路中的电阻等。

数码管的电流限制需要根据数码管的亮度和工作电压进行选择;数码管的接线需要符合对应的工作模式和电路设计,确保信号的正常传输;电路中的电阻也需要选择合适的阻值,以保证电路的正常工作。

总结起来,数码管显示设计与实现是一个综合性的工程,需要考虑数码管的类型、控制电路的设计、驱动方式、微控制器的选择及编程等方面。

vhdl七段数码管显示0到9计数器显示电路设计

vhdl七段数码管显示0到9计数器显示电路设计

vhdl七段数码管显示0到9计数器显示电路设计在 VHDL 中,可以使用进程`PROCESS`和状态机来实现七段数码管显示 0 到 9 的计数器显示电路设计。

以下是一个示例代码:```vhdl-- 七段数码管显示 0 到 9 的计数器显示电路设计-- 定义七段数码管的显示编码CONSTANT seven_seg : STD_LOGIC_VECTOR(6 DOWNTO 0) := "1111110";CONSTANT seg_map : STD_LOGIC_VECTOR(6 DOWNTO 0) := "0000011";-- 定义计数器的位数和初始值CONSTANT count_width : NATURAL := 4;CONSTANT count_init : NATURAL := 0;-- 声明计数器和七段数码管显示的信号Signal count : STD_LOGIC_VECTOR(count_width - 1 DOWNTO 0);Signal seg : STD_LOGIC_VECTOR(6 DOWNTO 0);-- 计数器的进程Process (clk)BeginIf clk'event and clk = '1' ThenIf count = count_init - 1 Thencount <= count_init;Elsecount <= count + 1;End If;End If;End Process;-- 七段数码管显示的进程Process (count)BeginCase count IsWhen count_init - 1 => seg <= seven_seg;When count_init => seg <= seg_map;When count_init + 1 => seg <= seven_seg;When count_init + 2 => seg <= seg_map;When count_init + 3 => seg <= seven_seg;When count_init + 4 => seg <= seg_map;When count_init + 5 => seg <= seven_seg;When count_init + 6 => seg <= seg_map;When count_init + 7 => seg <= seven_seg;When count_init + 8 => seg <= seg_map;When count_init + 9 => seg <= seven_seg;When Others => seg <= seven_seg;End Case;End Process;-- 连接计数器和七段数码管显示的信号Output seg;```上述代码中,使用了两个进程`PROCESS`来实现计数器和七段数码管的显示。

QUARTUS应用二---计数器设计(实验报告模板)

QUARTUS应用二---计数器设计(实验报告模板)

QUARTUS应用二---计数器设计(实验报告模板)
可编程逻辑器件FPGA实验二
计数译码显示系统设计
一、实验目的
1、掌握中规模集成计数器的逻辑功能,以及任意进制计数器的设计方法
2、熟悉显示译码器和数码管的原理及设计应用
3、了解用数字可编程器件实现集成计数译码显示电路的方法
4、学会分频器的使用
5、进一步熟悉QUARTUS软件的基本使用方法
实验原理
1、计数器
(简述设计中所用两种集成计数器功能原理)
2、显示译码器和数码管
(简述显示译码器和数码管的分类)
3、分频器
(简述分频器的功能)
二、实验内容
1、用74161设计一个十九进制的计数器
(1)原理图
(2)功能仿真波形
(3)时序仿真波形
2、用74190设计一个十二进制减法计数器
(1)原理图
(2)功能仿真波形
(3)时序仿真波形
三、实验总结
1、实验故障及解决方法
2、实验体会
四、思考题
1、七段数码管分为共阴极和共阳极两类,本实验用的是哪一类?对两种数码管,各需选用
何种型号的译码器?
2、在采用集成计数器构成任意N进制计数器时,常采用哪两种方法?各有何特点?。

单片机课程设计完整版

单片机课程设计完整版

单片机课程设计Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】湖南工业大学课程设计资料袋理学院(系、部) 2015~2016 学年第 1 学期课程名称单片机系统课程设计指导教师职称学生姓名管专业班级电子科学1 学号题目出租车计费系统成绩起止日期 2015 年 12月7日~ 2015 年 12月 19 日目录清单湖南工业大学课程设计任务书理学院(系、部)电子科学专业班级课程名称:单片机系统课程设计设计题目:出租车计费系统完成期限:自 2015 年12月7日至2015 年 12 月 19 日共 2 周指导教师(签字):年月日系(教研室)主任(签字):年月日附件三(单片机应用系统)设计说明书(题目)出租车计费系统起止日期: 2015 年 12 月 7 日至 2015 年 12 月 19日学生姓名管班级电子科学学号成绩指导教师(签字)电气与信息工程学院2015年 12 月19 日一、设计任务:1.1 外部脉冲自动计数,自动显示。

1.1.1设计一个255计数器:0-255计数,计满后自动清0,重新计数(在数码管中显示)。

1.1.2设计一个50000计数器:0-50000计数,计满后自动清0,重新计数(在数码管中显示)。

1.2 设计一个出租车计费系统:起步价为5元(2km以内),2km后,元/0.5km;要求每500m刷新计费一次,在8位数码管中,前3位显示数码管显示里程数,后3位数码管显示价钱(角,元,十元)或者用lcd1602显示二、设计原理及思路1.设计一个255计数器:0-255计数,计满后自动清0,重新计数(在数码管中显示)。

这个用C语言比较简单。

首先我确定用的是外部中断0,1,外部中断0用来计数,来一个脉冲,计数器加1,直到加到255,然后清零。

外部中断1用来暂停/开始计数,来一个外部脉冲,暂停计数,再来一个脉冲,开始计数。

用138译码器数码管进行显示0到255,到了255,自动到0.2.设计一个50000计数器:0-50000计数,计满后自动清0,重新计数(在数码管中显示)。

基于单片机控制的数码管控制计数器毕业设计word格式 - 副本

基于单片机控制的数码管控制计数器毕业设计word格式 - 副本

重庆信息技术职业学院毕业设计题目基于单片机的数码管显示计时器设计选题性质: 设计□报告□其他院系电子工程学院专业电子信息工程技术班级 12 级(1)班学号 1220090141学生姓名陈军指导教师唐玉萍教务处制2012年 9 月 1 日目录1、课程设计目的*************************************************42、课程设计具体要求*********************************************43、课程设计正文*************************************************5 3.1硬件设计***************************************************53.1.1 方案设计**********************************************53.1.2 AT89S51功能介绍及其设计******************************53.1.3CD4511功能介绍及其设计******************************113.1.4数码管功能介绍及其设计********************************133.1.5 单元电路设计*****************************************16 3.1.6原理图***********************************************17 3.1.7PCB图************************************************183.1.8系统调试**********************************************183.2 软件设计**************************************************193.2.1 系统分析**********************************************193.2.2 系统设计**********************************************193.2.3 系统实施及程序****************************************194、课程设计总结*************************************************211、课程设计目的单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用非常广泛,发展很迅速。

(整理)数字电路课程设计实例---24秒计时器

(整理)数字电路课程设计实例---24秒计时器

1 计数器概述1.1篮球竞赛24秒计时器功能数字电子技术在社会生活中发挥着越来越重要的作用,在生活中有着各种各样的应用。

因此课程设计是数字电子技术学习中非常重要的一个环节,它将学生的理论知识和实践能力统一起来,为以后的工作做好准备。

在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。

本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。

一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。

本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。

此计时器功能齐全,有显示24秒倒计时的功能,同时系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能。

而在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号。

1.2设计任务及要求1.2.1 基本要求(1)显示24秒计时功能。

(2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。

(3)在直接清零时,要求数码显示器灭灯。

(4)计时器为24秒递减计时器,其计时间隔为1秒。

(5)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。

(6)秒脉冲由555多谐振荡器给出。

1.2.2设计任务及目标(1)根据原理图分析各单元电路的功能;(2)熟悉电路中所用到的各集成块的管脚及其功能;(3)进行电路的装接、调试、直到电路能达到规定的设计要求;(4)写出完整、详细的课程设计报告1.2.3 主要参考器件555 晶体定时器74 LS74双D触发器74LS47译码器74192双时钟十进制计数器2 电路设计原理与单元模块2.1设计原理24秒计时器的总体参考方案框图如图2.1所示。

它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。

其中计数器和控制电路是系统的主要模块。

计数器完成24秒计时功能.而控制电路完成计数器的直接清零、启动计数、暂停/连续计数;译码显示电路的显示与灭灯、定时时间到启动报警等功能。

EDA综合课程设计

EDA综合课程设计

二、实验内容
16X16点阵字符发生器
一、实验目的:
1、 了解点阵字符的产生和显示原理。 2、了解16×16点阵LED的工作机理。 3、 加强对于总线产生,地址定位的CPLD实现的理解。
二、硬件要求:
1. 主芯片EPF10K10LC84-4。
2. 可变时钟源。
3. 16×16扫描LED点阵。
四、字库格式说明
主干道
题目六 彩灯控制器
设计任务与要求:
用给定IC设计、安装与调试彩灯控制器,具体要求 如下: (1) 控制器有四组输出,每组驱动1只LED。 (2)设计用4只LED组成的彩灯图案。图案的状 态变换至少有三种,并且能定时自动切换。 (3)彩灯图案状态变换的速度至少有快、慢两 种。 (4)安装并调试彩灯控制器
二、模块结构
四个10进制计数器:用来分别对百分之一秒、 十分之一秒、秒和分进行计数; 两个6进制计数器:用来分别对十秒和十分 进行计数;
分频器:用来产生100HZ计时脉冲;
显示译码器:完成对显示的控制。
三、实验内容及步骤:
1.根据电路持点,用层次设计概念将此设计任务分成若干模 块,规定每一模块的功能和各模块之间的接口。让几个学生 分做和调试其中之一,然后再将各模块合起来联试。以培养 学生之间的合作精神,同时加深层次化设计概念。 2.了解软件的元件管理深层含义,以及模块元件之间的连接 概念,对于不同目录下的同一设计,如何熔合。 3.适配划分前后的仿真内容有何不同概念,仿真信号对象有 何不同,让学生有更深一步了解。熟悉了CPLD设计的调试过 程中手段的多样化。 4.按适配划分后的管脚定位,同相关功能块硬件电路接口连 线。
各功能模块连接示意图如图所示。
数字钟各模块连接示意图

用单片机AT89C51设计一个2位的LED数码显示作为“秒表”—单片机课程设计

用单片机AT89C51设计一个2位的LED数码显示作为“秒表”—单片机课程设计

目录一、设计题目和要求: (2)二、设计目的: (2)三、设计内容: (3)四、课程设计心得体会 (25)五、参考文献 (26)六、课程设计指导教师评审标准及成绩评定 (27)附件1:秒表原理图(实际接线图) (28)附件2:仿真图1 (30)附件3:仿真图2 (31)一、设计题目和要求:题目三:秒表应用AT89C51的定时器设计一个2位的LED数码显示作为“秒表”:显示时间为00~99s,每秒自动加1,设计一个“开始”键,按下“开始”键秒表开始计时。

设计一个“复位”键,按下“复位”键后,秒表从0开始计时。

任务安排:李座负责绘制电路原理图;梁宗林负责收集资料及电子版整理;付忠林负责程序和仿真。

二、设计目的:1.进一步掌握AT89C51单片机的结构和工作原理;2.掌握单片机的接口技术及外围芯片的工作原理及控制方法;3.进一步掌握单片机程序编写及程序调试过程,掌握模块化程序设计方法;4.掌握PROTEUS仿真软件的使用方法;5.掌握LED数码管原理及使用方法。

6.掌握定时器、外部中断的设置和编程原理。

7.通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。

8.该课程设计通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的计时、暂停、清零、复位功能,并同时可以用数码管显示。

三、设计内容:了解8051芯片的的工作原理和工作方式,使用该芯片对LED数码管进行显示控制,实现用单片机的端口控制数码管,显示分、秒,并能用按钮实现秒表起动、停止、清零功能,精确到1秒。

AT89C51单片机的主要工作特性:·内含4KB的FLASH存储器,擦写次数1000次;·内含28字节的RAM;·具有32根可编程I/O线;·具有2个16位可编程定时器;·具有6个中断源、5个中断矢量、2级优先权的中断结构;·具有1个全双工的可编程串行通信接口;·具有一个数据指针DPTR;·两种低功耗工作模式,即空闲模式和掉电模式;·具有可编程的3级程序锁定定位;AT89C51的工作电源电压为5(1±0.2)V且典型值为5V,最高工作频率为24MHz.AT89C51各部分的组成及功能:振荡器和时钟电路数据存储器128字节程序存储器14KBCPU 两个16位定时器计数器中断控制总线扩展控制器并行可编程I/O口可编程串行口内部总线外部中断扩展控制P0 P1 P2 P3 RXD TXD1.单片机的中央处理器(CPU )是单片机的核心,完成运算和操作控制,主要包括运算器和控制器两部分。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

北华航天工业学院课程设计报告(论文)课设名称: EDA技术与应用设计课题:计数器及数码显示综合设计北华航天工业学院电子工程系EDA 课程设计任务书姓名:xxx 专业:测控技术与仪器班级:xxx指导教师:xxx 职称:教授课程设计题目:计数器及数码显示综合设计已知技术参数和设计要求:①总体设计要求:设计一个能在7段数码管上动态刷新显示十进制、十二进制、六十进制、四位二进制计数器计数结果的VHDL语言程序并在EDA实验开发系统上实现该功能。

②技术要点:VHDL语言编辑程序、共阴7段数码管及FPGA可编程芯片的工作原理与连线。

所需仪器设备:实验箱,电脑成果验收形式:实验报告,硬件实验结果参考文献:李国洪、胡辉、沈明山等编著《EDA技术与实验》—机械工业出版社出版。

时间安排2014年12月14日-15日编辑VHDL语言程序2014年12月16日硬件调试程序2014年12月17日实验箱答辩验收指导教师:齐建玲教研室主任:王晓2014年 12月 17日内容摘要用VHDL语言在MAX+PLUS2环境下设计一个带使能输入、进位输出及同步清零的十进制计数器。

设计一个带使能输入及同步清零的十二进制计数器。

设计一个带使能输入及同步清零的六十进制加法计数器。

设计一个四位二进制可逆计数器。

设计一个共阴7段数码管控制接口,在时钟信号的控制下,使六位数码管动态刷新显示上述计数器的计数结果。

最后在EDA实验开发系统实验操作。

目录一、概述 (1)二、方案设计与论证 (1)三、单元电路设计与参数计算 (1)1、十进制计数器 (1)2、十二进制计数器 (2)3、六十进制计数器 (3)4、十六进制可逆计数器 (4)5、六进制计数器 (5)6、数据选择器 (6)7、 4-7译码器 (7)四、顶层文件 (8)1、截图 (8)2、程序 (8)五、安装与调试 (10)六、心得体会 (10)1.实验注意事项 (10)2.收获与体会 (10)一、概述用VHDL语言在MAX+PLUS2环境下设计一个带使能输入、进位输出及同步清零的十进制计数器。

设计一个带使能输入及同步清零的十二进制计数器。

设计一个带使能输入及同步清零的六十进制加法计数器。

设计一个四位二进制可逆计数器。

设计一个共阴7段数码管控制接口,在时钟信号的控制下,使六位数码管动态刷新显示上述计数器的计数结果。

二、方案设计与论证四个计数器同时开始计数,通过计数器的使能端可以随时控制计数器是否继续工作,通过同步清零端可以随时把任何一个计数器清零重新开始计数。

四位二进制可逆计数器可以随时进行加计数或减计数。

通过六进制计数器扫描数码管和作为对其他4个计数器的选择信号,再通过一个数据选择器选择出计数器的输出信号,通过4-7译码器的输出信号在数码当时钟信号频率足够大时可以实现六个数码管“同时”显示各计数器的计数结果。

因为人的眼睛分辨不出各数码管的快速跳变。

所以能实现所要求的同步计数的目的。

三、单元电路设计与参数计算1、十进制计数器①代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JINZHI10 ISPORT( CLK,en,rst:IN STD_LOGIC;ci :OUT STD_LOGIC;dout :BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) );END JINZHI10;ARCHITECTURE ABC OF JINZHI10 ISBEGINci<='1' WHEN dout="1001" AND en='1' ELSE '0';PROCESS(CLK,en,rst)BEGINIF RISING_EDGE(CLK) THENIF rst='1' THEN dout<=(OTHERS=>'0');ELSIF en='1' THENIF dout="1001" THEN dout<="0000";ELSE dout<=dout+1;END IF;END IF;END IF;END PROCESS;END ABC;②仿真结果:2、十二进制计数器①代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JINZHI12 ISPORT( CLK,en,rst:IN STD_LOGIC;dout :BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END JINZHI12;ARCHITECTURE ABC OF JINZHI12 ISBEGINPROCESS(CLK,en,rst)BEGINif RISING_EDGE(CLK) THENif rst='1'then dout<=(others=>'0');ELSIF en='1' THENIF dout="1011" THEN dout<="0000";ELSE dout<=dout+1;END IF;END IF;END IF;END PROCESS;END ABC;②仿真结果3、六十进制计数器①代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JINZHI60 ISPORT( CLK,en,rst:IN STD_LOGIC;OL,OH :BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END JINZHI60;ARCHITECTURE ABC OF JINZHI60 ISBEGINPROCESS(CLK,en,rst)BEGINIF RISING_EDGE(CLK) THENIF rst='1' THEN OL<="0000";OH<="0000";ELSIF en='1' THENIF OL="1001" THEN OL<="0000";IF OH="0101" THEN OH<="0000";ELSE OH<=OH+1;END IF;ELSE OL<=OL+1;END IF;END IF;END IF;END PROCESS;END ABC;②仿真结果4、十六进制可逆计数器①代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY KENI16 ISPORT( CLK,JJ,E:IN STD_LOGIC;OL,OH :BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));END KENI16;ARCHITECTURE ABC OF KENI16 ISBEGINPROCESS(CLK,JJ,E)BEGINIF RISING_EDGE(CLK) THENIF E='1' THENIF JJ='1' THENIF OL="0101" AND OH="0001" THEN OL<="0000"; OH<="0000"; ELSIF OL="1001" THEN OL<="0000"; OH<=OH+1; ELSE OL<=OL+1;END IF;ELSIF JJ='0' THENIF OL="0000" AND OH="0000" THEN OL<="0101"; OH<="0001"; ELSIF OL="0000" THEN OL<="1001"; OH<=OH-1; ELSE OL<=OL-1;END IF;END IF;END IF;END IF;END PROCESS;END ABC;②仿真结果5、六进制计数器①代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JINZHI6 ISPORT( CLK,E :IN STD_LOGIC;O :BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0)); END JINZHI6;ARCHITECTURE ABC OF JINZHI6 ISBEGINPROCESS(CLK,E)BEGINIF RISING_EDGE(CLK) THENIF E='1' THENIF O="101" THEN O<="000";ELSE O<=O+1;END IF;END IF;END IF;END PROCESS;END ABC;②仿真结果6、数据选择器①代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY XUANZE ISPORT( A,B,C,D,E,F :IN STD_LOGIC_VECTOR(3 DOWNTO 0);I :IN STD_LOGIC_VECTOR(2 DOWNTO 0); O :OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END XUANZE;ARCHITECTURE ABC OF XUANZE ISBEGINPROCESS(I)BEGINCASE I ISWHEN "000" => O<=A;WHEN "001" => O<=B;WHEN "010" => O<=C;WHEN "011" => O<=D;WHEN "100" => O<=E;WHEN "101" => O<=F;WHEN OTHERS => NULL;END CASE;END PROCESS;END ABC;②仿真结果7、4-7译码器①代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY YM_47 ISPORT( I:IN STD_LOGIC_VECTOR(3 DOWNTO 0); O:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END YM_47;ARCHITECTURE ABC OF YM_47 ISBEGINWITH I SELECTO<="1111110" WHEN "0000","0110000" WHEN "0001","1101101" WHEN "0010","1111001" WHEN "0011","0110011" WHEN "0100","1011011" WHEN "0101","1011111" WHEN "0110","1110000" WHEN "0111","1111111" WHEN "1000","1111011" WHEN "1001","1110111" WHEN "1010","0011111" WHEN "1011","0000000" WHEN OTHERS;END ABC;②仿真结果四、顶层文件1、截图2、程序library ieee;use ieee.std_logic_1164.all;entity JISHUQI isport(clk,clk6:in std_logic;en:in std_logic;rst10,rst12,rst60:in std_logic;jj:in std_logic;ci10:out std_logic;dout:out std_logic_vector(6 downto 0);sel:out std_logic_vector(2 downto 0));end JISHUQI;architecture behave of JISHUQI iscomponent JINZHI10PORT( CLK,En,rst:IN STD_LOGIC;Ci :OUT STD_LOGIC;dout :BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) );end component;component JINZHI12PORT( CLK,en,rst:IN STD_LOGIC;dout:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));end component;component JINZHI60PORT( CLK,En,rst:IN STD_LOGIC;OL,OH :BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));end component;component KENI16PORT( CLK,JJ,E:IN STD_LOGIC;OL,OH :BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));end component;component YM_47PORT( I:IN STD_LOGIC_VECTOR(3 DOWNTO 0);O:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));end component;component JINZHI6PORT( CLK,E :IN STD_LOGIC;O :BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0));end component;component XUANZEPORT( A,B,C,D,E,F :IN STD_LOGIC_VECTOR(3 DOWNTO 0);I :IN STD_LOGIC_VECTOR(2 DOWNTO 0);O :OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );end component;signal douta,doutb,doutc,doutd,doute,doutf:std_logic_vector(3 downto 0);signal douti:std_logic_vector(2 downto 0);signal outin:std_logic_vector(3 downto 0);beginu1:JINZHI10 port map(clk=>clk,en=>en,rst=>rst10,ci=>ci10,dout=>douta); u2:JINZHI12 port map(clk=>clk,en=>en,rst=>rst12,dout=>doutb);u3:JINZHI60 port map(clk=>clk,en=>en,rst=>rst60,OL=>doutc,OH=>doutd);u4:KENI16 port map(clk=>clk,JJ=>JJ,e=>en,ol=>doute,oh=>doutf);u5:JINZHI6 port map(clk=>clk6,e=>en,o=>douti);u6:XUANZE port map(a=>douta,b=>doutb,c=>doutc,d=>doutd,e=>doute, f=>doutf,i=>douti,o=>outin);u7:YM_47 port map(i=>outin,o=>dout);sel<=douti;end behave;五、安装与调试在计算机和试验箱均断电的情况下连接好下载电缆和芯片的各端口之间的连线,接下来先给试验箱供电然后打开计算机找到MAX+plus2软件将先前已编辑好的的程序下载到芯片上。

相关文档
最新文档