哈工大数电大作业——学号后三位为模的计数器
哈工大2012数字电路大作业题目
数字电路大作业题目
说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。
题目1:电子密码锁的设计
[设计要求]
(1)设计一个开锁密码至少为4位数字(或更多)的密码锁。
(2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿
灯亮、红灯灭表示开锁状态。
(3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。
(4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。
注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等)
题目2:乒乓球比赛模拟机的设计
乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。
[设计要求]
(1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球”
的移动速度可以调节。
(2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,
表示未击中或违规,则对方得一分。
(3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。
(5)能显示发球次数。
注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等)
哈工大数电课本课后习题答案
当 C = 0 时, F = A B = A + B 。
于是, F = ABC + ( A + B)C ,波形如图 A4.4 所示。
A
B
C
F
图 A4.4
【4-5】解:
G2 有一输入端悬空,结果如表 A4.1 所示。
表 A4.1
(d) [00010000]补=00010000
[-00100000]补=11100000
[00010000-00100000]补=[00010000]补+[-00100000]补=11110000=[10010000]补
00010000-00100000=10010000
【2-9】解:二进制数 (a) 00111111 (b) 0011 1100 1011 (c) 0001 0110 0100
(d) 0101 0010.0111 十进制数 (a) 63 (b) 971 (c) 356 (d) 82.4 BCD8421 码 (a) 0110 0011 (b) 1001 0111 0001 (c) 0011 0101 0110
(d) 1000 0010.0100 【3-1】解:
(1) 逻辑代数中有三种最基本运算: 与 、 或 和 非 ,在此基础上又派生出五 种基本运算,分别为 与非 、 或非 、 异或 、 同或 、和 与或非 。
哈工大数电实验预考核
Read me:
1。用法,出现在题干里的选项是正确选项,出现在选项下面的选项是错误选项.
2.大部分题看看实验视频就可以得出结果,考前一定看视频别过分依赖这机经。
3。题库不全,有些题只排除部分错误答案,没得出正确答案,因为在那之后我已经通过预考核,没法遇上同样的题,错过了就错过了。
4。祝PRC 65周年生日快乐。
·实验一组合数字电路基础实验(开放时间:2014/10/8至2014/10/18)
试题2、本次实验芯片的供电电源电压为_A___.
A:+5V
B:+12V
C:±12V
D:±5V
试题3、搭接本次组合数字电路实验时,应将芯片插在_D_.
A:单级放大电路子板
B:集成运算放大电路子板
C:面包板
D:EEL—69实验平台右侧芯片座
试题1、74LS00芯片的每个与非门为几输入与非门?
A:1个输入
B:2个输入
C:3个输入
D:4个输入
bd
试题2、做本次数字电路实验,在EEL—69实验箱上选哪一路接线柱?C
A:12V、GND
B:+5V、-5V
C:+5V、GND
D:-5V、GND
试题3、74LS151芯片是: D
A:与非门
B:8选1数据选择器
C:4选1数据选择器
D:双4选1数据选择器
试题4、
C
A:1)
B:2)
C:3)
试题1、74LS00芯片包含几个与非门? D
A:1个与非门
B:2个与非门
C:3个与非门
D:4个与非门
试题5、74LS20芯片包含几个与非门? B
A:1个与非门
B:2个与非门
C:3个与非门
D:4个与非门
试题3、C
A:1)
B:2)
C:3)
试题3、组合数字电路的输出采用下面何种设备测试?D A:信号发生器
哈工大_数电大作业
H a r b i n I n s t i t u t e o f T e c h n o l o g y
数电大作业
院系:航天学院
班级:
姓名:
学号:
©哈尔滨工业大学
1.血型匹配判断
源程序:
module ABO1(
IN1,IN2,S
);
input [1:0] IN1;//IN1 IS TO GIVE
input [1:0] IN2;//IN2 IS TO GET
output S;
reg S;
always
case(IN1)
2'b00:
case(IN2)
2'b00:S=1;
2'b01:S=0;
2'b10:S=1;
2'b11:S=0;
endcase
2'b01:
case(IN2)
2'b00:S=0;
2'b01:S=1;
2'b10:S=1;
2'b11:S=0;
endcase
2'b10:
case(IN2)
2'b00:S=0;
2'b01:S=0;
2'b10:S=1;
2'b11:S=0;
endcase
2'b11:
case(IN2)
2'b00:S=1;
2'b01:S=1;
2'b10:S=1;
2'b11:S=1;
endcase
endcase endmodule
电路图:
2.计数器(0-211)
此计数器为八进制计数器,采用数码管显示源程序:
module js5(clc,clk,ca,an,led
);
input clc,clk;
output [3:0]an;
output[6:0]ca;
output[7:0]led;
reg [15:0] p;
reg [3:0] digit;
wire[3:0]aen;
wire[3:0]s;
数电课后题答案(哈工大版)课后习题答案
第6章 逻辑代数基础
6.2 授课的几点建议
6.2.1 基本逻辑关系的描述
基本逻辑关系有“与”、“或”、“非”三种,在本教材中采用文字叙述和常开触点、常闭触点的串、并联等形式来加以描述。还有一种描述逻辑关系的图,称为文氏图(V enn diagram )。图6.1(a)圆圈内是A ,圆圈外是A ;图6.1(b)圆圈A 与圆圈B 相交的部分是A 、B 的与逻辑,即AB ;图6.1(c)圆圈A 与圆圈B 所有的部分是A 、B 的或逻辑,即A +B 。与逻辑AB 也称为A 与B 的交集(intersection );或逻辑A +B 也称为A 和B 的并集(union )。
(a) 单变量的文氏图 (b) 与逻辑的文氏图 (c) 图6.1 文氏图
6.2.2 正逻辑和负逻辑的关系
正逻辑是将双值逻辑的高电平H 定义为“1”,代表有信号;低电平L 定义为“0”,代表无信号。负逻辑是将双值逻辑的高电平H 定义为“0”,代表无信号;低电平L 定义为“1”,代表有信号。正逻辑和负逻辑对信号有无的定义正好相反,就好象“左”、“右”的规定一样,设正逻辑符合现在习惯的规定,而负逻辑正好反过来,把现在是“左”,定义为“右”,把现在是“右”,定义为“左”。关于正、负逻辑的真值表,以两个变量为例,见表6.1。
表6.1
由表6.1可以看出,对正逻辑的约定,表中相当是与逻辑;对负逻辑约定,则相当是或逻辑。所以正逻辑的“与”相当负逻辑的“或”;正逻辑的“或”相当负逻辑的“与”。正与和负或只是形式上的不同,不改变问题的实质。
6.2.3 形式定理
哈工大-电工电子-大作业-数字钟仿真
数字电路实现可调时数字钟的设计和基于Multisim的仿真
学院:机电工程学院
专业:机械制造及其自动化
班号: 0908106
姓名: XXXX
学号: XXXXXXXXXX
数字可调时时钟的设计及仿真(Multisim)
主要部分分为:
秒信号产生部分 + 时间显示部分部分 分为!子电路
1. 秒信号产生(振荡、分频)
图中:上面左侧为由555构成的多谐振荡器、中间为74ls161(A)、右侧为74ls161(B)。
下面 左侧为74ls161(C) 右侧为
74ls112.
使用软件:
NI Multisim 10.0.01
上图为接示波器测量
产生的信号Io1处用示波器测得为上图,知很精确
(5个周期时,正好为5s!由此知极为接近1s),达到精度要求2.时钟部分(加法器、显示模块、校时模块)
上图为仿真完全的时钟部分图:
上图为60进制加法器(两片72ls90、两个74ls00连接实现)
上图为24进制加法器原理图(两片72ls90、两个74ls00连接实现)
上图为调节时间部分
原理:当把单刀双掷开关掷下时候(既是把秒的信号接到分针的时钟信号上,这样就实现了分钟时间的快速走动,至满意是即可取消开关);
上图为在电脑中进行仿真的过程!
上图为用调时间的功能调到23:59:58 以观察接着发生的事:
嘿!23:59后跳回00:00:00 !GOOD
调到当前时间
此为调整到当前时间(调制与电脑时间一致)
功能完美实现
学号:1090810613
姓名:郭凯
哈工大模电大作业
作业的重要性和目的
作业是哈工大模电课程的重要组 成部分,旨在帮助学生巩固所学 知识,提高实际操作能力。
作业能够培养学生的独立思考和 解决问题的能力,为后续的课程 学习和实际工作打下坚实基础。
添加标题
添加标题
添加标题
添加标题
通过作业,学生可以加深对模电 理论的理解,更好地掌握相关电 路的分析和设计方法。
注意事项和安全措施
确保电源安全:使用前检查电源是否正常,避免触电事故
遵循操作规程:按照作业指导书或老师要求进行操作,避免设备损坏或人身伤害
注意工具使用:正确使用工具,避免工具误伤或损坏电路板 遵守实验室规定:禁止在实验室内吸烟、饮食、乱扔垃圾等行为,保持实验室整 洁卫生 注意安全警示标识:遵循实验室内的安全警示标识,避免发生意外事故
完成作业是学生对自己学习成果 的检验和提高,也是对教师教学 质量的反馈。
作业要求和时间安排
作业要求:根据教学大纲,完成模电大作业,包括理论分析和实验操作两部分
时间安排:作业布置时间为课程结业前一周,完成时间为结业前三天
作业内容
02
作业题目和任务
题目:哈工大模电大作业
任务:完成模电实验报告, 包括实验原理、实验步骤、 数据记录与分析等内容
YOUR LOGO
XX,a click to unlimited possibilities
哈工大 数字电子技术基础 参考答案
时,G1 的 拉 (拉,灌)电流为160µ A 。
3.图 4.3 中示出了某门电路的特性曲线,试据此确定它的下列参数:输出高电 平 UOH=3V ;输出低电平 UOL= 0.3V ;输入短路电流 IS= 1.4mA ;高电平输入漏电流 IR= 0.02mA ;阈值电平 UT= 1.5V ;开门电平 UON= 1.5V ;关门电平 UOFF= 1.5V ; 低电平噪声容限 UNL= 1.2V ;高电平噪声容限 UNH= 1.5V ;最大灌电流 IOLMax= 15mA ; 扇出系数 No= 10 。
(3) 若 X ⊕ Y=X ⊕ Z,则 Y=Z;(√ )
【3-2】用代数法化简下列各式
(1) F1 = ABC + AB = 1
(2) F2 = ABCD + ABD + ACD = AD
(3) F3 = AC + ABC + ACD + CD = A + CD
(4) F4 = A + B + C ⋅ ( A + B + C) ⋅ ( A + B + C) = A + BC
UO2 =0.3V
0 UO1 =3.6V
UO1 =3.6V
0 UO2 =0.3V
UO2 =0.3V
若 G2 的悬空的输入端接至 0.3V,结果如下表
哈工大数电试卷1
数字电子技术 (A 卷)
一、 填空题:(每空2分,共30分) (1)(11100.011)2 =( 28.6 )10 =( )8421BCD (2) (204.125) 10 =( ) 2 =( )8 =( )16 (3)字母TTL 代表( )。
(4) 三态门的输出状态共有( )三个状态。
(5)将模拟信号转换为数字信号需经过( )四个步骤。 (6)触发器的触发方式可分为电平触发和( )触发。 (7) 逻辑门电路输出端可驱动同类门电路的个数称为( )。
(8) 将))((C B A C B A Y ++++= 化为最小项形式为( )。 (9) ( )(译码器,编码器)的特点是在任一时刻只有一个输入有效。 (10)一位半加器具有( )个输入和两个输出。
(11)已知A/D 转换器的分辨率为8位,其输入模拟电压范围为
0~5V ,则当输入电压为1.96V 时,输出数字量为( )。
(12)利用双稳态触发器存储信息的RAM 称为( )RAM 。 二、(共10分) (1)(4分) 用代数法化简:C B BC C B A BCD A A F ++++=
(2)(6分) 用卡诺图化简: 三、 (10分)已知逻辑电路如图1所示,试分析其逻辑功能。
(要求:写出简化逻辑表达式、真值表和逻辑功能。)
图1
四、(12分)如图2,分别用(1)八选一数据选择器;(2) 3-8线译码器74LS138及其逻辑门;实现逻
辑函数: (说明:A 2 为高位) C B A C B A AC F ++=
五、(15分)电路和波形如图3 (a ),(b)所示,设Q 0 ,Q 1 的初态均为0。 (1)写出驱动方程,状态方程和输出方程;
哈工大数电大作业
数电大作业
一.实验题目
实验要求:设计一个十六进制以上的计数器。
要求解析:用两片74LS161设计一个的三十进制的计数器,并用multism进行分析。二.实验电路
图1 三十进制计数器原理图
三.设计思路
首先,74LS161是十六进制计数器,要达到要求的三十进制计数器,必须要使用两片74LS161。于是可以考虑两片74LS161分别做十位和个位,然后用74LS48和LED数据管构成显示译码电路来显示变化的十位和个位的数值。
然后,把个位的74LS161的QA和QD端通过与非门U7A和它的置数端相连接,置数端的值为0。即当Q D Q C Q B Q A=1001时,LOAD=0,由于是同步置数器,当下一个时钟到来时Q D Q C Q B Q A=0000。这样就实现了个位的0000~1001的循环。
同时,当Q D Q C Q B Q A=1001时,通过与非门U7A后再通过U8A非门和十位的74LS161的时钟端CLK相连接,使用非门是考虑到74LS161为下降沿触发电路。通过上述电路,实现了一个百进制计数器的设计。
接下来考虑如何在个位的Q D Q C Q B Q A=1001和十位的Q D Q C Q B Q A=0010(即十进制的29)时让个位和十位均置零。可以考虑在十位的74LS161的QB端通过非门U9A和它的置数端相连让其置零。具体原理如下:当十位的74LS161的Q D Q C Q B Q A=0010时(整个电路显示为20),十位74LS161的LOAD=0。当整个电路显示为29时,十位74LS161的CLK=1,当个位为0000的瞬间,十位的CLK=0,此时对于十位时钟端产生一个下降沿信号,进而实现十位的置位为0000。
哈工大数字电路大作业
班级:学号:姓名:
一、利用Verilog HDL设计一个电路,对输入的一串二进制数,用于检测序列中连续3个或者3个以上的1,状态转换图如图所示。
状态转换表如图所示
由题目要求可知,选择Moore型状态机实现功能。通过quartusII 仿真验证功能。
源程序如下:
module zuoye_1(clk,din,op);
input clk,din;
output op;
reg[1:0]current_state,next_state;
reg op;
parameter S0=2'b00,S1=2'b01,S2=2'b10,S3=2'b11;
always@(posedge clk)
begin
current_state <= next_state;
end
always@(current_state or din)
begin
case(current_state)
S0: begin
op=0;
if(din==0)
next_state=S0;
else
next_state=S1;
end
S1: begin
op=0;
if(din==0)
next_state=S0;
else
next_state=S2;
end
S2: begin
op=0;
if(din==0)
next_state=S0;
else
next_state=S3;
end
S3: begin
op=1;
if(din==0)
next_state=S0;
else
next_state=S3;
end
default:
begin
op=0;
next_state=S0;
end
endcase
哈工大数电习题册答案
(3) F3 AC ABC ACD CD
(4) F4 A B C ( A B C ) ( A B C )
A CD
【3-3】 用卡诺图化简下列各式 (1)
A BC
F1 BC AB ABC AB C
(2)
F2 AB BC BC AB
m(0, 2,3, 4,5, 6,11,12)
d
(8,9,10,13,14,15) BC BC D
AB+AC=0
(3) P3 = A C D ABCD ABCD AD ACD BCD(或ABD) (4) P4 = ABCD ABCD A B
A B C
F A B
A B C C D
F AB CD
(a)
×
(b)
VC C R
×
A B C F A B C
A B
F AB CD
C D
B
F A X BX
A B
1
(c)
F AB
X A
(改为10Ω) 100 kΩ
√
×
(d)
图 4.6
【4-5】TTL 三态门电路如图 4.7(a)所示,在图(b)所示输入波形的情况下,画出 F 端的波形。
+5V +3V
最新哈工大数电期末试题+答案
一、(10分)填空和选择填空(每空1分)
1.根据反演规则,若Y =AB C D C +++,则Y =()AB C D C ++⨯ 。
2. 图1所示门电路均为TTL 门,则电路输出P 1=()AB BC AB BC +;P 2=()AC C A C ++。
P 2
A
B
C c
R A B C
P 1
51Ω
V CC
图1
3.由TTL 门组成的电路如图2所示,已知它们的输入短路电流为I S =1.6mA ,高电平输入漏电流I R =40μA 。试问:当A =B =1时,G 1的 灌 (拉,灌)电流为 3.2mA ;A =0时,G 1的 拉 (拉,灌)电流为 160μA 。
G 3
G 1G 2A B
图2
4.3位扭环形计数器的计数长度为 6 。
5.某EPROM 有8条数据线,13条地址线,则存储容量为 64 kbit 。
6.某512位串行输入串行输出右移寄存器,已知时钟频率为4MH Z ,数据从输入端到达输出端被延迟 128 μs 。
二、(6分)F (A ,B ,C ,D )=(0,2,3,4,5,6,7,11,12)(8,9,10,13,15)m d +∑∑,用两片74LS138和最少的二输入与门实现F 。
本题得分
本题得分
BIN /OCT
BIN /OCT
( I )
( II )
B 1E 3
E 2
E 1
B 2
B 0
Y 0
Y 1
Y 2
Y 3
Y 4Y 5
Y 6
Y 7
B 1E 3
E 2
E 1
B 2
B 0
Y 0
Y 1
Y 2
Y 3
Y 4Y 5
Y 6
Y 7
74LS138
74LS138
图3
解:
114114F m m m m =+=
哈工大 数电自主设计实验 数字时钟
实验报告
课程名称:数字电子技术基础
实验题目:设计性实验----数字时钟院系:航天学院
专业:
班级:
姓名:
学号:
哈尔滨工业大学
摘要
数字时钟最主要的功能是计时,显示具体的时间,即显示当前的时和分,它还包含一些附加的功能,时间不准时的较正、复位数字时钟等功能。数字时钟主要是时、分的显示,众所周知,一天有二十四小时,一小时有六十分钟,一分钟有六十秒,因此数字时钟的核心部件就是计数器,主要的是二十四进制和六十进制的计数器。计数器有很多种类,74LS192是一种同步十进制可逆计数器,它具有双时钟输入,并且具有清零和置数等功能,通过它可以设计出不同进制的计时器,可以用来像数字时钟一样显示时、分,通过引脚的不同的功能,可以设计出不同的附加功能,时钟校对、复位以及一些更加复杂的功能。在实验中,用555芯片连接输出为60秒的多谐振荡器用于时钟的分脉冲,用74LS192(十进制计数器)、74LS00(与非门芯片)连接成60和24进制的计数器,再通过数码管显示出来,从而构成了数字时钟。
关键字:数字时钟,数码管,计数,74LS192,555
目录
一.实验目的 (3)
二.总体设计方案或技术路线 (3)
三.实验电路图 (6)
四. 仪器设备名称、型号 (6)
五.理论分析或仿真分析结果 (8)
六.详细实验步骤及实验结果数据记录 (9)
七.实验结论 (9)
八.实验中出现的问题及解决对策 (9)
九.本次实验的收获和体会、对电路实验室的意见或建议 (9)
十.参考文献 (10)
数字时钟
一.实验目的
1、掌握不同进制计数器的设计方法,学会运用集成芯片来达到不同进制计数器的设计;
哈工大电工数字实验报告
总成绩:
一、设计任务
七人表决器的设计
二、设计条件
本设计基于学校实验室,所用元件如下:
EEL—69模拟、数字电子技术实验箱一台
集成运算放大器实验插板一块
直流稳压电源一台
双踪示波器一台
数字万用表一块
主要元器件
同步加法计数器74LS161、74LS151、导线等
(EEL—69模拟、数字电子技术实验箱上有喇叭、三极管以及芯片的插座;集成运算放大器实验插板上有不同参数值的电阻和电容,可任意选用)
三、设计要求
①有七人参与表决,显示赞同者个数。
②当赞同者达到及超过4人时,绿灯显示表示通过。
四、设计内容
电路原理图(含管脚接线)
1.计算与仿真分析
3. 调试流程
通过查阅相关资料了解74LS151和74LS161的功能,设计电路,用Multisim画电路原理图,进行仿真试验,得到效果与预期结果相同,电路正确。
4. 设计和使用说明
7个人分别对应7个电平开关,当7人表决结束,通过为高电平,按下J2开关,数码管显示表决通过人数,超过4人电平指示灯点亮。
五、设计总结
通过本次实验,我加深了对数字电路的了解以及相关知识的掌握,熟悉芯片的使用,通过电路的调试,加强了专业知识技能。
六、设计参考资料
[1]杨世彦. 电工学(中册)电子技术. 机械工业出版社. 2008.
哈工大数字电子技术基础习题册-标准答案-章
哈工大数字电子技术基础习题册2010-答案6-7章
————————————————————————————————作者:————————————————————————————————日期:
2
第6章 触发器
【6-1】已知由与非门构成的基本RS 触发器的直接置“0”端和直接置“1”端的输入波形如图6.1所示,试画出触发器Q 端和Q 端的波形。
R d S d Q Q
图 6.1
解:
基本RS 触发器Q 端和Q 端的波形可按真值表确定,要注意的是,当d R 和d S 同时为“0”时,Q 端和Q 端都等于“1”。d R 和d S 同时撤消,即同时变为“1”时,Q 端和Q 端的状态不定。见图6.1(b )所示,图中Q 端和Q 端的最右侧的虚线表示状态不定。
R d S d Q
Q
不定状态
图6.1(b ) 题6-1答案的波形图
【6-2】触发器电路如图 6.2(a)所示,在图(b)中画出电路的输出端波形,设触发器初态为“0”。
Q
Q
R d S d
d S d Q Q
R
(a) (b)
图6.2
解:
此题是由或非门构成的RS 触发器,工作原理与由与非门构成的基本RS 触发器一样,只不过此电路对输入触发信号是高电平有效。参照题6-1的求解方法,即可画出输出端的波形,见图6.2(c)。
d S d Q Q
R 不定状态
图6.2(c)
【6-3】试画出图6.3所示的电路,在给定输入时钟作用下的输出波形,设触发器的初态为“0”。
C11J 1K R S
Q
“1”
CP
Y
Z
CP
图 6.3
解:
见图6.3(b)所示,此电路可获得双相时钟。
CP
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电子技术应用Verilog HDL设计计数器
学院:航天学院
班级:
学号:
姓名:
教师:
设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。
设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。
程序代码:
modulejishuqi(out,reset,clk);
output [7:0] out;
inputreset,clk;
reg [7:0] out;
always @(posedgeclk)
begin
if(!reset)out<=8'h00;
else if(out>=113)out=8'h00;
else out<=out+1;
end
endmodule
激励源设置程序:
`timescale 1 ns/ 1 ps
modulejishuqi_test();
regclk;
reg reset;
wire [7:0] out;
jishuqi i1 (
.clk(clk),
.out(out),
.reset(reset)
);
initial
begin
#1 clk=0;
#10 reset=0;
#40 reset=1;
end
always #20 clk=~clk ;
endmodule
Modelsim仿真波形图:
注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer
Technology Map Viewer