哈工大_数电大作业

合集下载

哈工大2012数字电路大作业题目

哈工大2012数字电路大作业题目

数字电路大作业题目说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。

题目1:电子密码锁的设计[设计要求](1)设计一个开锁密码至少为4位数字(或更多)的密码锁。

(2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。

(3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。

(4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。

注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等)题目2:乒乓球比赛模拟机的设计乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。

[设计要求](1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球”的移动速度可以调节。

(2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。

(3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。

(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。

(5)能显示发球次数。

注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等)题目3:液体点滴速度监控装置的设计设计医用点滴速度自动控制装置。

假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。

[设计要求](1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。

哈工大 电工大作业 时钟

哈工大  电工大作业  时钟

数字时钟
一、设计要求
设计一个数字时钟,具有计时和置位功能。

二、设计方案
1、用1HZ的信号发生器作为信号的产生。

2、利用74LS161改进成十进制计数器控制时钟秒钟和分钟的个位。

3、利用74LS161改进成六进制计数器控制时钟秒钟和分钟的十位。

4、利用74LS161改进成六二十四进制计数器控制时钟小时。

5、利用74LS161 Cr 端进行清零设置。

6、利用74LS47驱动七段LED显示器。

7、相关引脚图
三、设计电路
正常工作时j1和j3均接高电平,需要置位时,将j1和j3换挡,每个74LS161置位端(A B C D)接上相应的数据即可
四、设计总结
本次试验在仿真软件上成功运行,设计过程中出现部分错误,经过调试,最终成功调试出所需功能的电路。

此次大作业,加深了我对电路知识的掌握,我进一步了解了几种元件,对部分芯片的使用达到了熟悉的程度。

对它们的应用有了更多的想法。

还有对出现各种问题时的分析处理能力。

为以后设计电路给了我一个启蒙。

今后我会更加努力,在听课的同时更好地利用身边的各种资源,努力在电路方面有更多的进步。

哈工大数电大作业——学号后三位为模的计数器

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器学院:航天学院班级:学号:姓名:教师:设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。

设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。

然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。

程序代码:modulejishuqi(out,reset,clk);output [7:0] out;inputreset,clk;reg [7:0] out;always @(posedgeclk)beginif(!reset)out<=8'h00;else if(out>=113)out=8'h00;else out<=out+1;endendmodule激励源设置程序:`timescale 1 ns/ 1 psmodulejishuqi_test();regclk;reg reset;wire [7:0] out;jishuqi i1 (.clk(clk),.out(out),.reset(reset));initialbegin#1 clk=0;#10 reset=0;#40 reset=1;endalways #20 clk=~clk ;endmoduleModelsim仿真波形图:注二进制数01110001化成十进制数为113,因此得到了正确的波形图。

RTL ViewerTechnology Map Viewer。

哈工大电工电子大作业电子时钟设计

哈工大电工电子大作业电子时钟设计

电工电子学大作业数字电子时钟的设计班学号:一实验目的数字电子钟是用数字集成电路构成并有数字显示特点的一种现代计数器。

目前数字电子钟的设计,主要是采用计数器等集成电路构成,大多是由振荡器、计数器、译码器、LED 显示器组成。

译码代替机械式传动,用LED显示器代替指针显示进而显示时间,减小了计时误差。

这种用数字电路实现的电子钟与机械式时钟相比具有更高的准确性和直观性,且使用寿命更长。

因而广泛应用于车站、码头、商店等公共场所。

为了更加详细的了解电子时钟的实现方法,在这次创新实验设计中我选择了做一个电子时钟,希望能够通过这次实验更加深刻地理解和掌握各种进制计数器的构成方式,了解计数器、寄存器在现实生活中的应用。

二总体设计方案数字电子时钟主要是由秒脉冲信号发生器,时分秒计数器,译码显示器等电路构成。

本次实验采取模块化设计方式。

整个电路划分为秒脉冲发生器模块,秒计时器模块,分计时器模块,小时计时器模块和译码显示器模块。

其中秒脉冲发生器由555定时器构成的多谐振荡电路实现,能够产生频率为1Hz的矩形脉冲;分、秒计时器采用60进制计数器,分别由两个74LS161芯片通过级联法构成,小时计时器采取24小时制,由两个74LS90通过级联法构成24进制计数器;译码显示器采用七段显示译码器。

三预计实现功能1显示时间,能够以24小时制显示时分秒;2 时间校正,能够对时分秒分别进行校正。

四实验电路图按照电路的组成原理,实验电路图由三部分构成,分别是秒脉冲发生器部分,时分秒计数器部分,译码显示器部分。

1 秒脉冲发生器模块其中IO1为秒脉冲输出端口。

2 分、秒计时模块其中IO1为脉冲输入端口,IO2——IO9为输出驱动七段显示译码器的信号端口,IO2——IO5为个位,IO6——IO9为十位,数字由小到大分别对应七段显示译码器的A、B、C、D 信号输入端。

IO10为向分钟进位的输出脉冲信号端口。

3 小时计时模块其中IO1为脉冲输入端口,IO2——IO9为输出驱动七段显示译码器的信号端口,IO2——IO5为个位,IO6——IO9为十位。

哈工大电大数字电子技术基础大作业

哈工大电大数字电子技术基础大作业

哈工大电大数字电子技术基础大作业
H a r b i n I n s t i t u t e o f T e c h n o l o g y
数字电子技术基础大作业
课程名称:数字电子技术基础
设计题目:血型与状态机
院系:
班级:
设计者:
学号:
哈尔滨工业大学
血型逻辑电路设计
一实验目的
1.掌握采用可编程逻辑器件实现数字电路与系统
的方法。

2.掌握采用Xilinx_ISE软件开发可编程逻辑器件
的过程。

3.学会设计血型能否输血的数字电路。

4.掌握Verilog HDL描述数字逻辑电路与系统的方
法。

二设计要求
1.采用BASYS2开发板开关,LED,数码管等制作验
证能否输血的电路。

2.采用Xilinx_ISE软件进行编程、仿真与下载设
计到BASYS2开发板。

三电路图
1.电路模块图(简化)
应用:
2.内部电路组成(简化)。

哈工大模电大作业

哈工大模电大作业
解决方法:仔细阅读题目要求,明确电路结构和参数,使用合适的 分析方法
解决方法:检查计算过程,确保每个步骤都正确无误,可以使用计 算器辅助计算
解决方法:按照实验指导书要求进行操作,注意安全,遵循实验步 骤
解决方法:参考教师提供的模版,按照要求撰写报告,注意排版和 格式
提高效率和准确性的技巧
制定详细计划,合理分配时间 熟练掌握基础知识,避免因基础知识不扎实导致错误 仔细审题,明确题目要求和注意事项 多做练习,提高解题能力和技巧
完成作业是学生对自己学习成果 的检验和提高,也是对教师教学 质量的反馈。
作业要求和时间安排
作业要求:根据教学大纲,完成模电大作业,包括理论分析和实验操作两部分
时间安排:作业布置时间为课程结业前一周,完成时间为结业前三天
作业内容
02
作业题目和任务
题目:哈工大模电大作业
任务:完成模电实验报告, 包括实验原理、实验步骤、 数据记录与分析等内容
作业成果评价
04
评价标准和方式
评价标准:作业 完成度、技术难 度、创新性、实 用性
评价方式:教师 评分、同学互评、 自我评价
评价过程:制定 评价标准、作业 提交、评价结果 反馈
评价结果应用: 作为课程成绩的 依据,促进教学 改进
作业成果展示和交流
学生在课堂上进行作业成果 的讲解和交流,提高表达能 力
对未来学习和发展的建议和展望
深入学习模电知识,掌握更多实际应用技能 拓展学习领域,了解相关学科的前沿动态 加强实践操作能力,提高实验技能水平 积极参与学术交流和项目合作,拓宽视野和思路
YOUR LOGO
THANK YOU
汇报人:XX
汇报时间:20XX/01/01
YOUR LOGO

哈工大模电大作业2

哈工大模电大作业2

一、实验内容设计一个二阶压控型低通滤波器,要求通带增益为2,截止频率为2kHz ,可以选择0.01F μ的电容器,阻值尽量接近实际计算值。

电路设计完后,画出频率响应曲线,并采用Multisim 进行仿真分析。

二、原理分析给定电容值0.01uF ,计算得:43R R = = 10ΩK ,选取1R = 2R = 39ΩK 按照滤波器的工作频带,滤波器可分为低通滤波器(LPF )、高通滤波器(HPF )、带通滤波器(BPF )、带阻滤波器(BEF )几种。

按滤波器传递函数的极点数又分为一阶滤波器、二阶滤波器等。

如果滤波器仅由无源元件(电阻、电容和电感)组成,则称之为无源滤波器;若滤波器含有有源元件(晶体管、集成运放等),则称之为有源滤波器。

由阻容元件和运算放大器组成的滤波电路称为RC 有源滤波器。

由于集成运放有带宽的限制,目前RC 有源滤波器的工作频率比较低,一般不超过1MHz 。

1、 有源低通滤波器(LPF )低通滤波器允许输入信号中低于截止频率的低频或直流分量通过,抑制高频分量。

有源低通滤波器是以RC 无源低通滤波器为基础,与集成运放连接而成。

2、 二阶压控型低通滤波器二阶压控型有源低通滤波器如下图所示。

图 1. 二阶压控型低通滤波器原理图因为电容器C1的接地端改为接运放输出端,引入了正反馈,由于在通带内电容器视为“开路”,因此C1的改接不影响滤波器的通带电压放大倍数,即11up RfA R =+。

为简化计算,令23,12R R R C C C ====,根据“虚短”和“虚断”特征及叠加定理可解得传递函数:2()()1(3)(sCR)up o us I up A u s A u s A sCR ==+-+ 令s j ω=,得滤波器的频率响应表达式:21()(3)upu up o oA A f f j A f f =-+-式中12o f RCπ=,令21()(3)H H up o o f f j A f f -+-=解得该滤波器的上限截止频率为 1.272H o o f f f =≈ 定义有源低通滤波器的品质因数Q 为o f f =时电压放大倍数的模与通带电压放大倍数之比,即13upQ A =- 实际应用,Q 的调节范围0100Q ≤≤,一般选取1Q =附近的值。

哈工大模电大作业集成运放

哈工大模电大作业集成运放

H a r b i n I n s t i t u t e o f T e c h n o l o g y模电课程大作业一设计题目:集成运算放大器设计院系:航天学院班级:XXX设计者:XXX学号:XXXX设计时间:2014.05.26哈尔滨工业大学2014年题目:用集成运算放大器实现下列运算关系要求所用的运放不多于三个,元件要取标称值,取值范围为 画出电路图,并用Multisim 进行仿真验证。

分析:由 知,1223i i u u +可以通过反相求和运算电路实现得到输出电压 ,而35i u dt -⎰可以通过积分电路实现,最后用减法运算电路将两者求差得到。

设计方案:1.反相求和运算电路 因为令 为了保证运放两端的对称,则4123////5R R R R k ==Ω但电阻的标称值没有5k 所以4R 取标称值5.1k 。

所以设计的反相求和运算电路如下图。

123235o i i i u u u u dt=+-⎰11,0.110k R M F C F μμΩ≤≤Ω≤≤123235o i i i u u u u dt =+-⎰12(23)i i u u -+123235o i i i u u u u dt =+-⎰3112u R A R ==3223u R A R ==31230,15k ,10R k R R k =Ω=Ω=Ω则对于12,i i u u ,则用波形发生器输入方波。

设置1i u 的幅值为1V ,频率为1kHz ,占空比为50%的方波,2i u 的幅值为2V ,频率为1kHz ,占空比为50%的方波。

对两波形发生器的设置如下图。

用示波器同时显示两个输入端和输出端的波形。

得到仿真结果如下图。

Channel_A 和Channel_B 分别为两个输入端,Channel_C 为输出端。

根据计算输出端电压112(23)7o i i u u u V =-+=与仿真结果一致。

2.积分运算电路。

根据题意235o i u u dt =-⎰,故时间常数60.2R C τ==。

哈工大模电大作业1

哈工大模电大作业1

模电大作业(一)设计题目由AD590组成的测温电路院系电气工程及其自动化班级设计者学号指导老师设计时间由AD590组成的测温电路【摘要】:AD590是AD公司利用PN结正向电路与温度的关系制成的电流输出型两端温度传感器。

由于该器件具有良好的线性特性和互换性,因此测量精度高并具有消除电流波动的特性。

本文根据电流电压转换原理采用AD590设计了一个简单的温度测量电路。

【关键词】:AD590,测温,电流电压转换电路1.任务:利用AD590设计一个温度测量电路。

2.设计要求:(1)测量温度范围在0-30℃,输出电压上限5V。

(2)电阻选用标准值。

(3)说明所选运放型号,管脚图。

(4)AD590管脚图。

(5)对部分或全部电路进行仿真。

1.理论分析(1)AD590简介集成温度传感器AD590是一种电流型二端元件,有“+”“-”两个有效引脚,给这两个引脚提供电压后,其通过的电路与AD590的温度成正比,AD590管脚图如图1所示,最后一个引脚为传感器外壳,可悬空或者接地(气屏蔽作用)。

AD590的基本参数(1)测温范围- 55℃~+l50℃;(2)线性电流输出lμA/ K;(3)线性度好,满刻度范围为±0 .3℃;(4)电源电压范围4 -30 V,当电源电压在5 ~10V之间,电压稳定度为l %时,所产生的误差只有±0.01℃;(5)电阻采用激光修刻工艺,使在+25℃(298.2K)时,器件输出298.2μA;(6)功率损耗低。

由参数知,电流I与温度成线性关系,温度每增加1℃,电流I随之增加1µA。

在制造时按照热力学温度标定,即在0℃时,AD590的电流为I=273µA。

电流I(µA)与温度t(℃)的关系可用函数(数值关系)表示为I=273+t。

(2)测温电路设计与参数选择为了将AD590输出的电流信号转化为电压信号,应与AD590串联10K Ω的电阻,则在0℃时电阻上的压降为2.73V ,温度每增加1℃,电阻上的压降增加10mV ,为了使温度为0℃是的输出电压为0V ,应加入偏移量,来抵消此时的AD590输出。

哈工大数字电子技术基础习题册2010-答案6-7章

哈工大数字电子技术基础习题册2010-答案6-7章

第章 触发器【】已知由与非门构成的基本触发器的直接置“”端和直接置“”端的输入波形如图所示,试画出触发器端和Q 端的波形。

R dSdQ Q图 解: 基本触发器端和Q 端的波形可按真值表确定,要注意的是,当d R 和d S 同时为“”时,端和Q 端都等于“”。

d R 和d S 同时撤消,即同时变为“”时,端和Q 端的状态不定。

见图()所示,图中端和Q 端的最右侧的虚线表示状态不定。

R d S dQQ不定状态图() 题答案的波形图【】触发器电路如图()所示,在图()中画出电路的输出端波形,设触发器初态为“”。

Q dS dQQ R() ()图解:此题是由或非门构成的触发器,工作原理与由与非门构成的基本触发器一样,只不过此电路对输入触发信号是高电平有效。

参照题的求解方法,即可画出输出端的波形,见图()。

dS dQR 不定状态图()【】试画出图所示的电路,在给定输入时钟作用下的输出波形,设触发器的初态为“”。

“CP Y ZCP图 解:见图()所示,此电路可获得双相时钟。

Q QCPYZ图()【】分析图所示电路,列出真值表,写出特性方程,说明其逻辑功能。

Q图 解:.真值表(时,保持;时,如下表)D n Q n Q n+10 0 00 1 01 0 11 1 1.特性方程.该电路为锁存器(时钟型触发器)。

时,不接收的数据;时,把数据锁存,但该电路有空翻。

【】试画出在图所示输入波形的作用下,上升和下降边沿触发器的输出波形。

设触发器的初态为“”。

CPJK。

数电答案 哈工大

数电答案 哈工大
∑ ∑ + (或 ) (2) P2(A,B,C,D)= m(0,2,3,4,5,6,11,12) + (8,9,10,13,14,15) = BC BC
D+ +
d
(3) P3 = A C D ABCD ABCD AD ACD BCD ABD+ + + + = + + (或 )
AB+AC=0
(4) P4 = ABCD ABCD+ = A + B
耦,加速,隔直)。
+5V
+3V
C
Rc
AB
ui Rb
T
uo
图 4.1
G3
G1 G2
图 4.2
2.由 TTL 门组成的电路如图 4.2 所示,已知它们的输入短路电流为 IS=1.6mA,高电
平输入漏电流 IR=40μA。试问:当 A=B=1 时,G1 的灌(拉,灌)电流为 3.2mA ;A=0 时,
G1 的 拉 (拉,灌)电流为 160µA 。
UI − 0.7 ≥ VCC ;c. UI − 0.7 < VCC )。在饱
和状态,UI 需满足的条件为 b (a.UI>0;b.
Rb β Rc
Rb β Rc
电路中其他参数不变的条件下,仅 Rb 减小时,晶体管的饱和程度 加深 (减轻,加深,不
变);仅 Rc 减小时,饱和程度 减轻 (减轻,加深,不变)。图中 C 的作用是 加速 (去
(ABCD 为互相排斥的一组变量,即在任何情况下它们之中不可能两个同时为 1)
【3-6】 已知: Y1 = AB+ AC+ BD Y2 = ABCD+ ACD+ BCD+ BC 用卡诺图分别求出 Y1 ⋅Y2 , Y1 +Y2, Y1 ⊕Y2。

哈工大数电大作业 作业2-状态机

哈工大数电大作业 作业2-状态机

数电大作业2——状态机1基本要求1)编写相关源程序;2)给出相关仿真电路图/状态转换图和仿真波形图.2设计任务利用Verilog HDL设计一个电路,对输入的一串二进制数,用于检测序列中连续3个或者3个以上的1,状态转换如图所示。

图 1要求其转换状态表如图(2)所示。

图 23.题目分析根据PPT中给出的状态转换表,我们可知该状态机共有四种状,state0=2'b00,state1=2'b01,state2=2'b10,state3=2'b11。

每种状态之间的转换关系如一中转换图所示。

在default中我们把状态归为state0。

我们采用Mealy型状态机。

4.设计步骤1.安装Quartus II软件并破解。

2.根据设计要求编写程序代码。

3.生成仿真电路图和波形如图。

5.程序代码1.状态机的源程序module FSM(clk,clr,out,in);input clk,clr,in;output out;reg out;reg[1:0] state,next_state;parameter state0=2'b00,state1=2'b01, //四种状态 state2=2'b10,state3=2'b11;always @(posedge clk )beginif (!clr) state <= state0;else state <= next_state;endalways @(state or in)begincase (state)state0: begin //状态0,AB为00 if (in==0)beginnext_state=state0;out=0;endelsebeginnext_state=state1;out=0;endendstate1: begin //状态1,AB为01 if (in==0)beginnext_state=state0;out=0;endelsebeginnext_state=state2;out=0;endendstate2: begin //状态2,AB为10if (in==0)beginnext_state=state0;out=0;endelsebeginnext_state=state3;out=0;endendstate3: begin //状态3,AB为11 if (in==0)beginnext_state=state0;out=1;endelsebeginnext_state=state3;out=1;endenddefault:beginnext_state =state0; out=0;endendcaseendendmodule6.仿真电路图和波形图RTL电路Technology Schematic形式的电路仿真波形:仿真激励测试源程序`timescale 1 ns / 1 nsmodule fsmsim;parameter DELAY=200;reg clk_50;reg clr;reg in;FSM u1(clk_50,clr,out,in);initialbeginclr=0;#DELAY clr=1;endinitialbeginclk_50=0;forever #10 clk_50=!clk_50; endinitialbeginin=0;forever #100 in=!in;endendmodule从仿真波形可以看出,在三个clk脉冲周期内,始终输入in都为高电平,那么out信号为1。

哈工大电工学大作业

哈工大电工学大作业

电工大作业——运料小车的电气控制 作者:*** 班号:**** 学号:*****设计课题:运料小车的电气化控制设计背景:1.有一运料小车如右图示,动作过程要求如下:小车可在A 、B 两地分别启动。

A 地启动后,小车先返回限位开关ST1处,停车30s 装料;然后自动驶向B 地,到达限位开关ST2处停车,底门电磁铁动作,卸料30s ;然后返回A 地,停车30s 装料,如此往复。

控制要求:(1)手动操作:能手动控制小车向前运行,向后运行,并能打开小车底门。

(2)连续往返自动控制:当小车启动后,能够自动往返运行。

(3)停车控制:小车在自动往返运行过程中,均可用手动开关令其停车。

再次启动后,小车重复(2)中内容。

设计要求:1.设计该机床的电气控制主电路;2.设计该机床的控制电路;控制电路采用继电接触器控制或可编程控制器实现均可。

3.查阅相关资料,编写详细的电气系统设计说明书,注意规范。

实验器材:熔断器:FU按钮:SB0,SB1,SB2,SB3,SB4,SB5时间继电器:KT1,KT2接触器:KM1,KM2,KM3,KM4行程开关:ST1,ST2电磁铁:KM5说明书:自动操作:按下按钮SB1,KM2通电,并形成自锁。

小车返回行程开关ST1处,此时ST1的常开触点闭合,时间继电器KT2通电,30s 后KT2的延时闭合触点闭合(小车在这时间内装料),KM1通电,小车向ST2处运动。

当运动到ST2后,ST2的常开触点被压合,时间继电器KT1通电,此时,电磁铁KM5通电,底门打开,开始卸料,30s 后KT1的延时闭合触点闭合,小车向ST1运动,如此往复。

按下按钮SB0,机器停止。

手动操作:按下按钮SB2,小车返回ST1处。

按下按钮SB4,小车向ST2运动。

按下按钮SB5,底门打开,开始卸料。

按下按钮SB0,机器停止。

附加:KM1与KM3,KM2与KM4的功能是一样的。

只是一个是自动控制,一个是手动控制。

限位开关 限位开关控制电路:控制电路:请老师点评!如果您认为不可行,请指点一下。

哈工大数电大作业1

哈工大数电大作业1

一.实验题目1.实验要求:用三个变量设计一个组合逻辑电路,并仿真。

2.实验题目设计:设计三人投票系统。

现有老师A、学生B、学生C,他们对于一个科技创新项目是否通过中期检查进行举手表决。

老师一票算1.5分,学生一票算1分。

该项目若在2分以上,通过中期检查;刚好2分,没有通过但可以延期检查;低于2分终止项目。

用Silinx的BASY2软件设计原理图并在开发板上进行实验。

二.题目分析1.对已知实际问题进行逻辑抽象,确定输入、输出变量。

输入变量:老师A、学生B、学生C。

投票为“1”,不投票为“0”。

输出变量:项目通过情况P。

项目延期检查Q。

项目终止R。

若项目通过,P=1;若项目不通过,P=0。

若延期,Q=1;若不延期,Q=0。

若终止项目,R=1,若不终止项目,R=0。

2.列出真值表。

A B C P Q R0 0 0 0 0 10 0 1 0 0 10 1 0 0 0 10 1 1 0 1 01 0 0 0 0 11 0 1 1 0 01 1 0 1 0 01 1 1 1 0 0表1 真值表3.写出逻辑表达式P=AC+ABQ=ABC+++++R=B C A B A C4.在xilinx 13.4上画出原理图图1 原理图三.电路仿真原理图翻译过来的硬件描述语言如下:// Inputsreg A;reg B;reg C;// Outputwire P;wire Q;wire R;// Bidirs// Instantiate the UUTsanrenjushoubiaojue UUT (.A(A),.B(B),.C(C),.P(P),.Q(Q),.R(R));// Initialize Inputs// `ifdef auto_initinitial beginA = 0;B = 0;C = 0;#100;A = 0;B = 0;C = 1;#100;A = 0;B = 1;C = 0;#100;A = 0;B = 1;C = 1;#100;A = 1;B = 0;C = 0;#100;A = 1;B = 0;C = 1;#100;A = 1;B = 1;C = 0;#100;A = 1;B = 1;C = 1;#100;//`endifendendmodule在软件下仿真的结果如下图:图2 仿真图通过仿真可知,电路原理图设计无误。

哈工大数字信号处理大作业 (2)(word文档良心出品)

哈工大数字信号处理大作业 (2)(word文档良心出品)

数字信号处理上机实验报告学号:姓名:实验题目一1. 实验要求:序列卷积计算(1)编写序列基本运算函数,序列相加、相乘、翻转、求和;(2)使用自定义函数计算序列线性卷积,并与直接计算结果相比较。

两个序列分别为:() 1,05 0,others n nx n≤≤⎧=⎨⎩,()2,030,othersn nx n≤≤⎧=⎨⎩2. 实验过程和步骤:包含题目分析,实验程序和流程图(程序要有必要的注释)3. 实验结果和分析:包含程序运行结果图,结果分析和讨论(一)基本运算函数1.原序列2.序列相加序列相加程序function [y,n]=sigadd(x1,n1,x2,n2)%implements y(n)=x1(n)+x2(n)%---------------------------------------------% [y,n] = sigadd(x1,n1,x2,n2)% y = sum sequence over n, which includes n1 and n2% x1 = first sequence over n1% x2 = second sequence over n2 (n2 can be different from n1)%n=min(min(n1),min(n2)):max(max(n1),max(n2)); %duration of y(n) y1=zeros(1,length(n));y2=y1;y1(find((n>=min(n1))&(n<=max(n1))==1))=x1; %x1 with duration of y y2(find((n>=min(n2))&(n<=max(n2))==1))=x2; %x2 with duration of y y=y1+y2; %sequence addition3.序列相乘序列相乘程序function [y,n]=sigmult(x1,n1,x2,n2)%implements y(n)=x1(n)*x2(n)%---------------------------------------------% [y,n] = sigmult(x1,n1,x2,n2)% y = product sequence over n, which includes n1 and n2% x1 = first sequence over n1% x2 = second sequence over n2 (n2 can be different from n1)%n=min(min(n1),min(n2)):m(min(n1),min(n2)) %duration of y(n)y1=zeros(1,length(n));y2=y1;y1(find((n>=min(n1))&(n<=max(n1))==1))=x1; %x1 with duration of y y2(find((n>=min(n2))&(n<=max(n2))==1))=x2; %x2 with duration of y y=y1.*y2; %sequence multiplication4.序列翻转序列翻转程序function [y,n]=sigfold(x, n)%implements y(n)=x(-n)%--------------------------------------------- % [y,n] = sigfold(x,n)%y=fliplr(x);n=-fliplr(n);5.序列移位序列移位程序function [y,n]=sigshift(x,m,n0)%implements y(n)=x(n-n0)%--------------------------------------------- % [y,n] = sigshift(x,m,n0)%n=m+n0;y=x;主程序x1=[0:5];x2=[0,1,2,3];n1=0:5;n2=0:3;%N=n1+n2-1;figure(1)subplot(211)stem(x1)xlabel('x1')subplot(212)stem(x2)xlabel('x2')title('原序列')x= sigadd(x1,n1,x2,n2);figure(2)stem(x)xlabel('x1+x2')title('序列相加')figure(3)[x,n] = sigfold(x1,n1);stem(n,x)xlabel('x1(-n)')title('序列翻转')[x,n] = sigshift(x,n,2);figure(4)stem(n,x)xlabel('x1(-n+2)')title('序列移位')x= sigmult(x1,n1,x2,n2);figure(5)stem(x)title('序列相乘')xlabel('x1*x2')(二)自定义函数计算线性卷积1.题目分析使用上一题中的序列相乘、翻转和求和子函数计算线性卷积,并与这直接用conv 函数计算的线性卷积结果相比较。

哈工大数字电路大作业2

哈工大数字电路大作业2

哈工大数字电路大作业2数电大作业(二)1120410104 周胜阳一、题目要求题目:利用Verilog HDL设计一个逻辑控制电路,用于对某备进行模式切换控制,设备模式共三种,控制方式为:a) 直通模式:高电平为直通有效,接地为直通无效;b) 跳频模式:接地为跳频模式有效,高电平跳频无效;c) 调谐模式:接地为调谐模式有效,高电平调谐无效。

设备的同一时刻只有且必须有一个模式有效,其他两个无效。

为防止同时多个模式有效,模式切换之间应有较小的延时,利用按键作为三种模式切换输入(按键最好有消除抖动的措施)二、设计思路1、整体思路:本题可以视为一个Moore型状态机。

通过op的位数控制直通、调谐、调频模式的转换。

当选择直通模式时,op 为100;选择调频模式时,op为001;选择调谐模式时,op 为010。

2、按键消抖:在检测出键闭合后执行一个延时程序,5ms~10ms的延时,让前沿抖动消失后再一次检测键的状态,如果仍保持闭合状态电平,则确认为真正有键按下。

当检测到按键释放后,也要给5ms~10ms的延时,待后沿抖动消失后才能转入该键的处理程序。

本题中,我们将延时设为3个时钟周期三、程序设计1.代码:module zsy2(clk,anjian,out);input clk,anjian;output [2:0] out;reg [2:0] out;reg [2:0] mode1=3'b111,mode0=3'b111;wire anjian_done; //按键触发parameter D=3'b010,Z=3'b100,T=3'b001,; //定义了三个模式Z为直通,T为跳频,D为调谐reg shake1,shake2,shake3; //定义消抖用的三个变量always@(posedge clk) //上升沿触发beginshake1<=anjian;shake2<=shake1;shake3<=shake2;mode1=mode0; //这个模块用于消抖,程序来源于百度out=mode1;endassign anjian_done = (shake1 | shake2 | shake3);always@(anjian_done)begin //下面的部分就是一个状态机,和作业1一样。

数电大作业

数电大作业

现态 B 0 0 1 1 0 0 1 1
输入 x 0 1 0 1 0 1 0 1
次态 A 0 0 0 1 0 1 0 1
次态 B 0 1 0 0 0 1 0 1
输出 y 0 0 0 0 0 0 1 1
大作业二
设计要求: 1.编写源程序; 2.给出仿真电路图、状态转换图和仿真波
形图。
报告要求: 1.格式自拟; 2.每个大作业提交一个报告。
大作业一
利用Verilog HDL设计一个以自己学号后三 位为模的计数器。
设计要求: 1.编写源程序; 2.给出仿真Βιβλιοθήκη 路图和仿真波形图。大作业二
利用Verilog HDL设计一个电路,对输入的 一串二进制数,用于检测序列中连续3个或 者3个以上的1,状态转换如图所示。
大作业二——状态表
现态 A 0 0 0 0 1 1 1 1
可编程逻辑器件大作业
利用ftp专用下载软件下载QuartusII_11.0版 本。
ftp://202.118.224.241/incoming/software/S OPC专用目录/11.0/
首先,安装11.0_quartus_windows.exe,并 按照破解方法进行破解。
然后,安装 11.0sp1_modelsim_ase_windows.exe, modelsim仿真软件。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

H a r b i n I n s t i t u t e o f T e c h n o l o g y
数电大作业
院系:航天学院
班级:
姓名:
学号:
©哈尔滨工业大学
1.血型匹配判断
源程序:
module ABO1(
IN1,IN2,S
);
input [1:0] IN1;//IN1 IS TO GIVE
input [1:0] IN2;//IN2 IS TO GET
output S;
reg S;
always
case(IN1)
2'b00:
case(IN2)
2'b00:S=1;
2'b01:S=0;
2'b10:S=1;
2'b11:S=0;
endcase
2'b01:
case(IN2)
2'b00:S=0;
2'b01:S=1;
2'b10:S=1;
2'b11:S=0;
endcase
2'b10:
case(IN2)
2'b00:S=0;
2'b01:S=0;
2'b10:S=1;
2'b11:S=0;
endcase
2'b11:
case(IN2)
2'b00:S=1;
2'b01:S=1;
2'b10:S=1;
2'b11:S=1;
endcase
endcase endmodule
电路图:
2.计数器(0-211)
此计数器为八进制计数器,采用数码管显示源程序:
module js5(clc,clk,ca,an,led
);
input clc,clk;
output [3:0]an;
output[6:0]ca;
output[7:0]led;
reg [15:0] p;
reg [3:0] digit;
wire[3:0]aen;
wire[3:0]s;
reg[3:0]an;
reg [6:0]ca;
reg [22:0] clkdiv;
assign s=clkdiv[19:18];
/*
assign aen[3]=p[15]|p[14]|p[13]|p[12]; assign aen[2]=p[15]|p[14]|p[13]|p[12] |p[11]|p[10]|p[9]|p[8];
assign aen[1]=p[15]|p[14]|p[13]|p[12] |p[11]|p[10]|p[9]|p[8]
|p[7]|p[6]|p[5]|p[4];
assign aen[0]=1;
*/
assign aen[3]=p[11]|p[10]|p[9]; assign aen[2]=p[11]|p[10]|p[9]|p[8]
|p[7]|p[6]|p[5];
assign aen[1]=p[11]|p[10]|p[9]|p[8]
|p[7]|p[6]|p[5]|p[4]|p[3];
assign aen[0]=1;
always@(posedge clk or posedge clc)
begin
if(clc==1)
clkdiv<=0;
else
clkdiv<=clkdiv+1;
end
always@(posedge clk or posedge clc)
begin
if(clc)
p<=0;
else if(clkdiv==0)
begin
p<=p+1;
if(p==211)
p<=0;
end
end
always@(*)
case(s)
0:digit=p[2:0];
1:digit=p[5:3];
2:digit=p[8:6];
3:digit=p[11:9];
default:digit=p[3:0]; endcase
always@(*)
case(digit)
0:ca=7'b0000001; 1:ca=7'b1001111; 2:ca=7'b0010010; 3:ca=7'b0000110; 4:ca=7'b1001100; 5:ca=7'b0100100; 6:ca=7'b0100000; 7:ca=7'b0001111;
8:ca=7'b0000000;
9:ca=7'b0000100;
'hA:ca=7'b0001000;
'hB:ca=7'b1100000;
'hC:ca=7'b0110001;
'hD:ca=7'b1000010;
'hE:ca=7'b0110000;
'hF:ca=7'b0111000;
default:ca=7'b0000001;
endcase
always@(*)
begin
an=4'b1111;
if(aen[s]==1)
an[s]=0;
end
assign led=p[7:0]; endmodule
电路图:
3.心得体会:
此次大作业使用了ise对硬件进行操作,加深我对数字逻辑电路的理解,同时也提高了我对Verilog语言的使用能力,对组合电路和时序电路也有了更加深的理解,同时也充分开发了我们的自学能力,这是一次收获颇深的大作业。

相关文档
最新文档