黄红涛实验报告--同步计数器
实验4:同步计数器及其应用实验报告
实验4:同步计数器及其应用实验报告
一、实验目的
1、了解可编程数字系统设计的流程
2、掌握Quartus II 软件的使用方法
3、掌握原理图输入方式设计数字系统的方法和流程
4、掌握74LS161同步16进制计数器的特点及其应用
二、实验设备
1、计算机:Quartus II 软件
2、Altera DE0 多媒体开发平台
3、集成电路:74LS10
4、集成电路:74LS161
三、实验内容
1、74LS161逻辑功能的测试
2、用74LS161实现12进制计数(异步清零)
3、用74LS161实现12进制计数(同步置数)
四、实验原理
74LS161
1、74LS161:异步清零、同步置数四位二进制计数器
2、引脚的定义:
使用74161实现16进制和12进制
1)首先使用quartus软件建立原理图,首先实现16进制,所以只
需要将需要的输入输出接到相应的引脚上,其中需要注意的是
我们需要让这个板子开始工作,所以需要将T和P引脚接响应
的高电压,然后将cp信号接入相应的输入;q0q1q2q3接到相
应的输出就可以了,然后编译。
现在在建立波形文件完成仿真,
通过仿真结果就可以看到自己的电路是否正确。
最后一步就是
实现在FPGA上的应用,我们需要做的就是给原来的原理图分
配相应的引脚,然后重新编译后,插入线就可以看到仿真结果
了。
2)12进制可以采取两种方式,也就是同步置数和异步清零两种
方式,我使用的异步清零,从而只需要对q0q1q2q3在12的时
候执行清零的动作就可以了,也就是加一个而输入的与非门就
可以了。
五、实验结果。
计数器逻辑功能测试实验报告
计数器逻辑功能测试实验报告
1.将计数器连接电源,并通过示波器检查电压值是否正常。
2. 将计数器的输入端口与电源端口连接,并通过示波器检查输入信号是否正常。
3. 将计数器的输出端口与示波器连接,并检查输出信号是否正常。
4. 通过改变计数器的计数模式,检查计数器是否可以正常计数并输出正确的结果。
5. 通过改变计数器的预设值,检查计数器是否可以正常预设,并输出正确的结果。
实验结果:
通过以上步骤的测试,计数器的逻辑功能正常,可以正常计数并输出正确的结果。
实验结论:
计数器的逻辑功能测试证明了计数器能够正常计数和输出正确的结果,符合设计要求。
- 1 -。
同步计数器的设计实验报告文档
2020同步计数器的设计实验报告文档Contract Template同步计数器的设计实验报告文档前言语料:温馨提醒,报告一般是指适用于下级向上级机关汇报工作,反映情况,答复上级机关的询问。
按性质的不同,报告可划分为:综合报告和专题报告;按行文的直接目的不同,可将报告划分为:呈报性报告和呈转性报告。
体会指的是接触一件事、一篇文章、或者其他什么东西之后,对你接触的事物产生的一些内心的想法和自己的理解本文内容如下:【下载该文档后使用Word打开】同步计数器的设计实验报告篇一:实验六同步计数器的设计实验报告实验六同步计数器的设计学号:姓名:一、实验目的和要求1.熟悉JK触发器的逻辑功能。
2.掌握用JK触发器设计同步计数器。
二、实验仪器及器件三、实验预习1、复习时序逻辑电路设计方法。
⑴逻辑抽象,得出电路的状态转换图或状态转换表①分析给定的逻辑问题,确定输入变量、输出变量以及电路的状态数。
通常都是取原因(或条件)作为输入逻辑变量,取结果作输出逻辑变量。
②定义输入、输出逻辑状态和每个电路状态的含意,并将电路状态顺序编号。
③按照题意列出电路的状态转换表或画出电路的状态转换图。
通过以上步骤将给定的逻辑问题抽象成时序逻辑函数。
⑵状态化简①等价状态:在相同的输入下有相同的输出,并且转换到同一次态的两个状态。
②合并等价状态,使电路的状态数最少。
⑶状态分配①确定触发器的数目n。
因为n个触发器共有2n种状态组合,所以为获得时序电路所需的M个状态,必须取2n1<M2n②给每个电路状态规定对应的触发器状态组合。
⑷选定触发器类型,求出电路的状态方程、驱动方程和输出方程①根据器件的供应情况与系统中触发器种类尽量少的原则谨慎选择使用的触发器类型。
②根据状态转换图(或状态转换表)和选定的状态编码、触发器的类型,即可写出电路的状态方程、驱动方程和输出方程。
⑸根据得到的方程式画出逻辑图⑹检查设计的电路能否自启动①电路开始工作时通过预置数将电路设置成有效状态的一种。
同步计数器实验报告
同步计数器实验报告集成计数器实验报告实验三集成计数器一、实验目的1、掌握集成计数器构成N进制的计数器的连接方法。
二、预习要求1.熟悉芯片各引脚排列。
2.理解构成模长M进制计数器的原理。
3.实验前设计好实验所用电路,画出实验用的接线图。
三、实验内容1、设计一模长M = 60进制的计数电路。
1)用同步连接反馈预置法实现。
2)用同步连接反馈清零法实现。
2、按设计图连接电路。
CP接频率为1Hz的方波脉冲,各计数器的输出Q3Q2Q1Q0接七段BCD显示译码器CD4511的DCBA输入端,CD4511的输出接七段数码显示器。
3、.接通实验箱电源,观察七段数码显示器计数状态的变化过程,并记录该状态循环。
四、实验器材数字逻辑实验箱,74LS160,74LS00,74LS20。
五、实验报告要求1、60进制计数器的电路设计图、连线图和计数器的测试结果。
4、测试过程中出现的问题及解决办法。
六、实验用元件介绍1.集成计数器74LS160本实验所用集成芯片为异步清零同步预置四位8421码10进制加法计数器74LS161,集成芯片的各功能端如图所示,其功能见附表。
V QQQQ74LS160功能表RDET EP CP D D DD QQ Q Q 0××××××××0 0 0 010××↑D C B A D C B A 110 ××××××保持11×0×××××保持111 1↑××××计数10 1 2 374LS160为异步清零计数器,即RD端输入低电平,不受CP控制,输出端立即全部为“0”,功能表第一行。
74LS160具有同步预置功能,在RD端无效时,LD端输入低电平,在时钟共同作用下,CP 上跳后计数器状态等于预置输入DCBA,即所谓“同步”预置功能(第二行)。
实验:计数器功能及其应用
实验计数器功能及其应用实验目的:通过实验,熟悉中规模集成计数器的功能及应用,掌握利用中规模集成电路计数器构成任意进制计数器的方法,学会综合测试的方法,让学生加深对相关理论知识的理解。
实验原理:计数器对输入的时钟脉冲进行计数,来一个CP脉冲计数器状态变化一次。
根据计数器计数循环长度M,称之为模M计数器(M进制计数器)。
通常,计数器状态编码按二进制数的递增或递减规律来编码,对应地称之为加法计数器或减法计数器。
一个计数型触发器就是一位二进制计数器。
N个计数型触发器可以构成同步或异步N位二进制加法或减法计数器。
当然,计数器状态编码並非必须按二进制数的规律编码,可以给M进制计数器任意地编排M个二进制码。
在数字集成产品中,通用的计数器是二进制和十进制计数器。
按计数长度、有效时钟、控制信号、置位和复位信号的不同有不同的型号。
74LS161是集成TTL四位二进制加法计数器,其符号和管脚分布分别如下图所示:表 8-1为74LS161的功能表:表8-1A B C D从表1在为低电平时实现异步复位(清零需要时钟信号。
在复位端高电平条件下,预置端LD为低电平时实现同步预置功能,即需要有效时钟信号才能使输出状态等于并行输入预置数 A B C D。
在复位和预置端都为无效电平时,两计数使能端输入使能信号,74LS161实现模16加法计数功能,;两计数使能端输入禁止信号,,集成计数器实现状态保持功能,。
在时,进位输出端OC=1。
在数字集成电路中有许多型号的计数器产品,可以用这些数字集成电路来实现所需要的计数功能和时序逻辑功能。
用M进制集成计数器构成任意N进制计数器:1、M>N,需一片M进制计数器一种为反馈清零法,另一种为反馈置数法。
(1)反馈清零法反馈清零法是利用反馈电路产生一个给集成计数器的复位信号,使计数器各输出端为零(清零)。
反馈电路一般是组合逻辑电路,计数器输出部分或全部作为其输入,在计数器一定的输出状态下即时产生复位信号,使计数电路同步或异步地复位。
计数器及其应用实验报告
计数器及其应用实验报告实验目的,通过实验,掌握计数器的工作原理和应用,加深对数字电路的理解。
实验仪器,示波器、信号发生器、逻辑分析仪、计数器芯片等。
实验原理,计数器是一种能够在输入脉冲信号的作用下,按照一定规律进行计数的数字电路。
常见的计数器有二进制计数器、BCD计数器等。
在实验中,我们将使用示波器和信号发生器来观察计数器的工作状态,并利用逻辑分析仪来分析计数器的输出信号。
实验步骤:1. 连接实验电路,按照实验指导书上的电路图,连接计数器芯片、示波器、信号发生器和逻辑分析仪。
2. 设置信号发生器,将信号发生器设置为产生一定频率的脉冲信号,并输入到计数器的时钟输入端。
3. 观察示波器波形,使用示波器观察计数器的输出波形,记录下不同计数器状态下的波形特征。
4. 使用逻辑分析仪,利用逻辑分析仪来分析计数器的输出信号,观察计数器的工作状态和输出特点。
实验结果与分析:通过实验观察和分析,我们发现计数器在接收到时钟脉冲信号后,按照固定的规律进行计数。
不同类型的计数器在计数规律上有所不同,但都能够实现稳定的计数功能。
同时,我们还发现计数器的输出信号具有一定的脉冲特性,这对于数字电路的设计和应用具有重要意义。
实验应用:计数器在数字电路中有着广泛的应用,例如在计时器、频率计、脉冲计数等电路中都有计数器的身影。
通过本次实验,我们对计数器的工作原理和应用有了更深入的了解,为今后的电路设计和应用打下了良好的基础。
结论:本次实验通过观察和分析计数器的工作特性,加深了对数字电路中计数器的理解。
同时,实验还展示了计数器在数字电路中的重要应用,为今后的电路设计和应用提供了有益的参考。
通过本次实验,我们不仅掌握了计数器的工作原理和应用,还提高了实验操作能力和数据分析能力。
希望通过今后的实验学习,能够进一步深化对数字电路和计数器的理解,为将来的工程实践做好充分的准备。
电子科技大学数电实验7
集成计数器芯片可供选用。掌握计数器芯片型
号、功能及正确使用是重要的,能从器件手册、 相关资料或相关网页的电子文档上读懂产品的 符号、型号、引脚及功能表等有关参数,进而 能灵活地应用是要掌握的一项基本技能。
现代电子技术实验
74LS163同步计数器
同步计数器:输入时钟脉冲时,触发器的翻转 是同时进行的。 74LS163是(模16)四位二进制同步计数器 。该计数器能同步并行预置数据,同步清零, 具有清零、置数、计数和保持四种功能,且具 有进位信号输出,可串接计数使用。
S1
S4 S5
二、实验原理
现代电子技术实验
状态图中包含有一个循环的任何时序电路称为 计数器。
1、计数器的分类
按时钟:同步、异步 按计数方式:加法、减法、可逆 按编码方式:二进制、十进制BCD
码、循环码
现代电子技术实验
2、集成计数器及应用
实际使用的计数器一般不需我们自己用单 个触发器来构成,因为有许多TTL和CMOS专用
现代电子技术实验
实验八
同步计数器及其应用
现代电子技术实验
一、实验目的
1. 熟悉同步计数器74LS163的功能及应用特点
2. 学习使用双踪示波器测试计数器工作波形
3. 掌握用同步计数器构成任意进制计数器的工作
原理
二、实验原理
现代电子技术实验
状态图中包含有一个循环的任何时序电路称为 计数器。
S2 S3 Sm
现代电子技术实验
4位二进制计数器74x163
同步清零 同步预置数
CLR LD ENP ENT
使能端
进位输出清零
RCO 进位输出
现代电子技术实验计数器74 NhomakorabeaS163功能表
同步计数器的设计共39页文档
同步计数器的设计
36、如果我们国家的法律中只有某种 神灵, 而不是 殚精竭 虑将神 灵揉进 宪法, 总体上 来说, 法律就 会更好 。—— 马克·吐 温 37、纲纪废弃之日,便是暴政兴起之 时。— —威·皮 物特
38、若是没有公众舆论的支持,法律 是丝毫 没有力 量的。 ——菲 力普斯 39、一个判例造出另一个判例,它们 迅速累 聚,进 而变成 法律。 ——朱 尼厄斯
40、人类法律,事物有规律,这是不 容忽视 的。— —爱献生
6、最大的骄傲于最大的自卑都表示心灵的最软弱无力。——斯宾诺莎 7、自知之明是最难得的知识。——西班牙 8、勇气通往天堂,怯懦通往地狱。——塞内加 9、有时候读书是一种巧妙地避开思考的方法。——赫尔普斯 10、阅读一切好书如同和过去最杰出的人谈话。——笛卡儿
实验5 计数器及其应用
实验报告实验5 计数器及其应用一、实验目的1、学习用集成触发器构成计数器的方法2、掌握中规模集成计数器的使用及功能测试方法3、运用集成计数计构成1/N分频器二、实验原理计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。
根据计数的增减趋势,又分为加法、减法和可逆计数器。
还有可预置数和可编程序功能计数器等等。
目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。
1、用D触发器构成异步二进制加/减计数器图5-9-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T'触发器,再由低位触发器的Q端和高一位的CP端相连接。
图5-9-1 四位二进制异步加法计数器若将图5-9-1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。
2、中规模十进制计数器CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-2所示。
图5-9-2 CC40192引脚排列及逻辑符号图中LD—置数端 CP U—加计数端 CP D—减计数端CO—非同步进位输出端BO—非同步借位输出端D0、D1、D2、D3—计数器输入端Q0、Q1、Q2、Q3—数据输出端 CR—清除端CC40192(同74LS192,二者可互换使用)的功能如表5-9-1,说明如下:表5-9-1当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。
当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。
同步计数器实验报告
同步计数器实验报告同步计数器实验报告引言:同步计数器是数字电路中常见的一种组合逻辑电路,用于实现二进制计数功能。
在本次实验中,我们将通过搭建一个4位同步计数器的电路,来探索其工作原理和性能特点。
一、实验目的本次实验的主要目的是:1. 理解同步计数器的基本原理;2. 掌握同步计数器的电路搭建方法;3. 分析同步计数器的性能特点。
二、实验器材和原理1. 实验器材:- 逻辑门集成电路(IC):我们使用74LS74型D触发器芯片;- 连线和电源:用于搭建电路连接和供电。
2. 原理:同步计数器是由多个触发器构成的,每个触发器的输出与下一个触发器的时钟输入相连。
当时钟信号触发时,触发器将根据输入信号的状态进行状态转移。
当所有的触发器都完成状态转移后,计数器的输出就会自动递增。
三、实验步骤1. 搭建电路:根据74LS74芯片的引脚功能,将两个芯片按照级联的方式连接起来。
将两个芯片的VCC引脚连接到正电源,GND引脚连接到地线。
将一个芯片的时钟输入引脚连接到一个外部时钟信号源,同时将该芯片的Q输出引脚连接到另一个芯片的时钟输入引脚。
2. 观察实验现象:接通电源后,我们可以观察到计数器的输出从0000开始递增,直到1111,然后再从0000开始重新计数。
这是因为我们使用的是4位计数器,最大计数为15(二进制1111),当计数达到最大值时,计数器会自动清零并重新开始计数。
四、实验结果与分析通过实验,我们可以得出以下结论:1. 同步计数器能够实现二进制计数功能,且能够自动清零并重新计数;2. 通过增加触发器的数量,我们可以扩展计数器的位数,实现更大范围的计数;3. 同步计数器的计数速度受到外部时钟信号的影响,时钟信号越快,计数速度越快;4. 由于同步计数器是由多个触发器级联构成的,其输出在计数过程中可能会出现一定的延迟,需要注意信号的传输时间。
五、实验总结本次实验通过搭建同步计数器电路,我们深入了解了同步计数器的原理和性能特点。
同步计数器的设计实验报告
同步计数器的设计实验报告同步计数器的设计实验报告篇一:实验六同步计数器的设计实验报告实验六同步计数器的设计学号:姓名:一、实验目的和要求1.熟悉JK触发器的逻辑功能。
2.掌握用JK触发器设计同步计数器。
二、实验仪器及器件三、实验预习1、复习时序逻辑电路设计方法。
⑴逻辑抽象,得出电路的状态转换图或状态转换表①分析给定的逻辑问题,确定输入变量、输出变量以及电路的状态数。
通常都是取原因(或条件)作为输入逻辑变量,取结果作输出逻辑变量。
②定义输入、输出逻辑状态和每个电路状态的含意,并将电路状态顺序编号。
③按照题意列出电路的状态转换表或画出电路的状态转换图。
通过以上步骤将给定的逻辑问题抽象成时序逻辑函数。
⑵状态化简①等价状态:在相同的输入下有相同的输出,并且转换到同一次态的两个状态。
②合并等价状态,使电路的状态数最少。
⑶状态分配①确定触发器的数目n。
因为n个触发器共有2n种状态组合,所以为获得时序电路所需的M个状态,必须取2n1<M2n②给每个电路状态规定对应的触发器状态组合。
⑷选定触发器类型,求出电路的状态方程、驱动方程和输出方程①根据器件的供应情况与系统中触发器种类尽量少的原则谨慎选择使用的触发器类型。
②根据状态转换图(或状态转换表)和选定的状态编码、触发器的类型,即可写出电路的状态方程、驱动方程和输出方程。
⑸根据得到的方程式画出逻辑图⑹检查设计的电路能否自启动①电路开始工作时通过预置数将电路设置成有效状态的一种。
②通过修改逻辑设计加以解决。
⑺设计步骤简图图3 设计步骤简图2、按实验内容设计逻辑电路画出逻辑图。
设计思路详情见第六部分。
电路图如下:四、实验原理1.计数器的工作原理递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。
递减计数器-----按二进制代码规律减少。
双向计数器-----可增可减,由控制端来决定。
2.集成J-K触发器74LS73⑴符号:图1 J-K触发器符号⑵功能:表1 J-K触发器功能表⑶状态转换图:图2 J-K触发器状态转换图⑷特性方程:Qn1JQnKQn⑸注意事项:①在J-K触发器中,凡是要求接“1”的,一定要接高电平(例如5V),否则会出现错误的翻转。
计数器及其应用实验
D2 X c X X b X X D1 X D0 X a X X Q3 0 d c 加计数 减计数 Q2 0 b Q1 0 Q0 0 a
输 入 CR
1 0 0 0 LD X 0 1 1 1 CPU X X CPD X X 1 D3 X d X X
4、实现任意进制计数 有级联法、清零法、置数法等方法。
2、测试同步十进制可逆计数器74LS192的逻辑功能。
3、用两片74LS192构成六十进制计数器。 ( 个位10进制,十位6进制) 五、注意事项: 实验中要使用到的芯片都必须要先检测其逻辑功能是 否正常;还要检测脉冲源及输出指示是否正常。
六、实验报告 1. 画出实验线路图,记录整理实验现象及实验所得的有关 波形,对实验结果进行分析。 2. 总结使用集成计数器的体会。
2、用2个上升沿触发的D触发器组成的两位异 步二进制加法计数器。
工作原理:D触发器都接成T’触发器。
3、同步十进制可逆计数器74LS192
Vcc
16
D0
15
CR
14
BO
13
CO
12
LD
11
D2
10Leabharlann D3912
3
4
5
6
7
8
D1
Q1
Q0 CPD
CPU Q2
Q3
GND
LD——置数端;CPU——加计 数端;CPD——减计数端; CO——非同步进位输出端; BO——非同步借位输出端;D0、 D1、D2、D3——计数器输入端; Q0、Q1、Q2、Q3——数据输 出端;CR——清除端
四-二输入与非门74LS00(CC4011)。
三、实验原理
2Q 2Q 1Q
计数器及其应用 实验报告
计数器及其应用实验报告计数器及其应用实验报告引言:计数器是一种常见的数字电路元件,用于计数和记录特定事件的发生次数。
计数器在电子设备中广泛应用,如时钟、计时器、频率计等。
本实验旨在通过设计和实现一个简单的计数器电路,探索计数器的原理和应用。
实验目的:1. 理解计数器的基本原理和工作方式;2. 掌握计数器的设计和实现方法;3. 熟悉计数器在数字电路中的应用。
实验器材:1. 74LS74型D触发器芯片;2. 74LS47型BCD-七段译码器芯片;3. 七段数码管;4. 连接线、电源等。
实验步骤:1. 连接电路:将D触发器芯片和BCD-七段译码器芯片按照电路图连接。
将七段数码管连接到译码器芯片的输出端口。
2. 设置初始状态:将D触发器的D端口和清零端口连接到高电平(Vcc),将时钟端口连接到脉冲发生器。
将BCD-七段译码器芯片的输入端口连接到D触发器的输出端口。
3. 测试计数器:通过调节脉冲发生器的频率,观察七段数码管的显示变化。
可以尝试不同的频率,观察计数器的计数速度。
实验结果:1. 当脉冲发生器频率较低时,七段数码管的显示会逐个数字递增,较慢。
2. 当脉冲发生器频率适中时,七段数码管的显示会快速变化,呈现出连续计数的效果。
3. 当脉冲发生器频率过高时,七段数码管的显示会变得模糊,无法分辨数字。
实验分析:1. 计数器的工作原理:D触发器是计数器的基本构建模块,通过时钟信号的触发,将输入信号存储并输出。
BCD-七段译码器将二进制计数器的输出转换为七段数码管的显示。
2. 计数器的应用:计数器广泛应用于时钟、计时器、频率计等场景中。
通过调节时钟信号的频率,可以实现不同速度的计数功能。
3. 计数器的局限性:计数器的频率受限于时钟信号的稳定性和触发器的响应速度。
过高或过低的频率都会影响计数器的正常工作。
实验总结:通过本次实验,我们深入了解了计数器的原理和应用。
计数器是数字电路中重要的组成部分,它能够记录和计算特定事件的发生次数。
实验十二计数器实验
STE-3A 数字电路实验—12实验十二同步计数器和异步计数器一.实验目的1. 进一步掌握异步和同步计数器的工作原理和逻辑功能。
2. 掌握中规模集成计数器逻辑功能的测试方法。
3. 熟悉用中规模集成计数器实现N进制计数器的方法。
二.电路原理简述计数器是具有计数功能的时序逻辑电路,是数字系统中的基本数字部件,它的功能是用触发器统计脉冲的个数。
它的应用十分广泛,不仅用来计数、还可以用于分频、定时。
按输入计数时钟脉冲方式的不同,可分为异步计数器和同步计数器;按计数值的加减不同,可分为加法计数器和减法计数器(也包括加减法功能同时具备的可逆计数器;按数制的不同,可分为二进制计数器,十进制计数器(即二-十进制计数器),N进制计数器。
三.实验设备名称数量型号1.适配器1只SD1282.2JK触发器2只SD1193.4与门1只SD1034.LED显示器1只SD1125.四位计数器1只SD1056.电源1只5V7.实验板1块5孔8.电子导线若干四.实验内容与步骤1. 异步二进制计数器由四只JK触发器组成四位异步二进制加法计数器(见图12-1)。
计数时钟脉冲不是同时加到所有触发器的cp输入端,应翻转的触发器并不同时翻转的计数器称为异步计数器。
JK 触发器作计数触发器使用时,只要将J、K接高电平1即可。
根据JK触发器的状态表,J=K =1时,每当一个计数时钟脉冲结束时,触发器就翻转一次,实现了计数触发。
低位触发器翻转两次即计两数就产生一个进位脉冲,因此,高位触发器的CP端应接低位的Q端。
该计数器的工作波形图如图12-2所示。
图12-1图12-2表12-1按图12-3连接线路,用手控方式按表4发计数时钟脉冲,观察A、B、C、D四个输出端的变化,并将实验结果记入表12-1中。
图12-32. 同步二进制计数器由四只JK触发器再加二只与门就可组成四位同步二进制加法计数器(见图12-4),计数时钟脉冲同时加到所有触发器的时钟输入端,使应翻转的触发器同时翻转的计数器称为同步计数器。
计数器及其应用实验报告实验总结
计数器及其应用实验报告实验总结下载提示:该文档是本店铺精心编制而成的,希望大家下载后,能够帮助大家解决实际问题。
文档下载后可定制修改,请根据实际需要进行调整和使用,谢谢!本店铺为大家提供各种类型的实用资料,如教育随笔、日记赏析、句子摘抄、古诗大全、经典美文、话题作文、工作总结、词语解析、文案摘录、其他资料等等,想了解不同资料格式和写法,敬请关注!Download tips: This document is carefully compiled by this editor. I hope that after you download it, it can help you solve practical problems. The document can be customized and modified after downloading, please adjust and use it according to actual needs, thank you! In addition, this shop provides you with various types of practical materials, such as educational essays, diary appreciation, sentence excerpts, ancient poems, classic articles, topic composition, work summary, word parsing, copy excerpts, other materials and so on, want to know different data formats and writing methods, please pay attention!一、引言计数器作为数字电路中常见的元件,在数字电子技术中有着广泛的应用。
第三次实验——精选推荐
第三次实验第三次实验(4学时)实验⼋时序电路测试及研究⼀、实验⽬的1、掌握常⽤时序电路分析、设计及测试⽅法。
2、训练独⽴进⾏实验的技能。
⼆、实验原理计数器是最典型的时序电路之⼀。
它可对脉冲的个数进⾏计数。
计数器的种类繁多,分类⽅法也有多种,例如,按进位数值来分类,可分为⼆进制计数器、⼆⼗进制器等;按计数器中触发器翻转的次序来分类,可以分为同步计数器和异步计数器;按计数过程中计数器数字的增减来分类,可以分为加法计数器、减法计数器和可逆计数器等。
图8-1为异步⼆进制加法计数器,由JK 触发器构成。
除第⼀级触发器由计数脉冲CP 直接驱动外,其它各级触发器的动作都要由其前⼀级触发器Q 的状态变化来确定,可见这些触发器的动作时间各异。
计数器由D R 输⼊负脉冲置零后,计数脉冲从CP 端输⼊,第⼀个计数脉冲输⼊后,计数器状态均为Q 4Q 3Q 2Q 1 = 0001,随着计数脉冲的继续输⼊,计数器的状态根据⼆进制码顺序依次递增,第⼗五个脉冲输⼊后,计数器状态为1111。
第⼗六个脉冲输⼊后,计数器恢复起始状态0000,并在D R 端送出⼀个进位脉冲。
如果继续输⼊脉冲,则重复上述过程。
异步⼆进制减法计数器的计数过程是每输⼊⼀个CP 脉冲,计数器的数值减1,例如设计数器原状态为0000,则输⼊第⼀个CP 脉冲后,变为1111。
输⼊第⼆个CP 脉冲后,变为1110依次类推。
异步⼆进制减法计数的电路结构与加法计数器相似,不同的是级间改由前级的Q 与后级的CP 连接。
异步⼆ - ⼗进制加法计数器如图8-2所⽰。
它由两⽚74LS73双J-K 触发器和⼀⽚74LS00⼆输⼊端四与⾮门组成。
前九个计数脉冲输⼊后计数器的状态变化与异步⼆进制数据相同;当第⼗个脉冲输⼊后,计数器状态恢复为0000,并从D Q 端送出⼀个进位脉冲。
把移位寄存器的输出,以⼀定的⽅式反馈到串⾏输⼊端可构成寄存器型计数器,常⽤的寄存器型计数器有环形计数器。
实验四 同步计数器的应用
预置法) 计数器(预置法 。 预ห้องสมุดไป่ตู้法
实验器件
74LS193、74LS00 、
四位二进制可逆计数器74LS193 四位二进制可逆计数器
UP为加计数时钟输入端; 为加计数时钟输入端; 为加计数时钟输入端 DOWN为减计数时钟输 为减计数时钟输 入端; 入端; CLR为清零输入端; 为清零输入端; 为清零输入端 BO为借位输出端; 为借位输出端; 为借位输出端 CO为进位输出端; 为进位输出端; 为进位输出端 LOAD为置数输入端; 为置数输入端; 为置数输入端 A~B为并行输入端; 为并行输入端; 为并行输入端 QA~QD为计数输出端; 为计数输出端;
实验目的
掌握同步计数器集成芯片74LS193 掌握同步计数器集成芯片 的功能和使用方法。 的功能和使用方法。 掌握用集成计数器实现任意模数计 数器。 数器。
实验内容
1. 用计数器 用计数器74LS193设计模六加法 设计模六加法
清零法和预置法) 计数器(清零法和预置法 。 清零法和预置法
2.用计数器 用计数器74LS193设计模五减法 用计数器 设计模五减法
74LS193时序图 74LS193时序图
思考题
1. 同步计数器与异步计数器有何区 试结合本实验加以说明? 别,试结合本实验加以说明? 2.计数器与分频器有何区别? 计数器与分频器有何区别? 3 . 74LS193 为可逆计数器 , 完成加 为可逆计数器, 减计数时有何差别? 减计数时有何差别?
同步计数器的应用
实验原理
计数器用于累计输入脉冲的个数, 计数器用于累计输入脉冲的个数,是数字系统中一种基本 用于累计输入脉冲的个数 的时序电路。它广泛应用于数字测量、 的时序电路。它广泛应用于数字测量、运算和控制等 计数器的种类 按时序:同步计数器---时钟信号到来时 时钟信号到来时, 按时序:同步计数器 时钟信号到来时,触发器的状态 同时翻转。 同时翻转。 异步计数器---时钟信号到来时 时钟信号到来时, 异步计数器 时钟信号到来时,触发器的状态 不同时翻转。 不同时翻转。 按增减:加法计数器---随计数脉冲做递加运算 按增减:加法计数器 随计数脉冲做递加运算 减法计数器---随计数脉冲做递减运算 减法计数器 随计数脉冲做递减运算 可逆计数器---具有加减计数器的功能 可逆计数器 具有加减计数器的功能 按数字的编码方式:二进制、十进制、 按数字的编码方式:二进制、十进制、十六进制 按进位制分: 、 按进位制分:模2、模10、模16和任意模计数器 、 和任意模计数器
黄红涛实验报告同步计数器
黄红涛实验报告同步计数器学生实验报告实验课名称:硬件描述语言实验项目名称:同步计数器专业名称:电子科学与技术班学级:电子科学与技术一班号:学生姓名:黄红涛教师姓名:程鸿亮________年_11___月__13__日组别_____________________同组同学_ 刘增辉实验日期:年 11 月 13 日实验室名称______ 成绩____ 一、实验名称:同步计数器二、实验目的与要求:实验目的:设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触发控制的描述方法以及异步清零的描述方法实验要求:通过编程,实现一个同步二十四进制计数器,要求有1个异步清零端、1个时钟脉冲输入、驱动7段数码管显示的个位和十位信号端,具体接口说明如下图所示:计数时钟脉冲输入;:异步清零信号,高电平有效,此时输出显示为“00”[60]:十位数的7段数码管显示输出; [60]:个位数的7段数码管显示输出;首先在上进行功能和时序仿真,之后通过器件及其端口配置下载程序到开发平台中在硬件实现中,要求:1 用实验平台的按键实现清零():采用模式2的输入方式,要求用键1实现清零2 用实验平台的数码管实现计数输出的显示:要求使用数码管8显示十位、数码管7显示个位3 用实验平台的发光阵列的8显示进位信号,要求当数码管输出“23”时,进位输出有效4 计数器的时钟信号采用实验平台的0时钟资源:可以通过跳线选择不同的时钟频率,0的时钟范围是~20此频率不能选择的太高,一般应选择16Hz以下,同学们可以调节此跳线观察计数效果三、实验内容:实验步骤:1 打开软件,建立一个新的工程:2 建立文件:3 建立矢量波形文件4 进行功能仿真5 进行时序仿真6 器件的下载四、实验条件:1 操作系统2 开发系统3 杭州康芯硬件开发平台五、实验原理:1.程序流程图:开始_:=_ 10_:=_/10<=__7(_)<=__7(_)结束开始='1'?NYN' ='1'?YN_=23?Y_<=0;co<='0';_<=_+1;co<='0';_<=0;co<='1';结束2硬件设置与调试原理:1)采用模式2的输入方式,用验平台的按键实现清零(),用8作为进位输出指示灯,用数码管8显示十位、数码管7显示个位,当异步清零端为高电平‘1’时,无论当前计数器的输出为多少,即可改变输出结果为“00”,数码管8,数码管7分别显示输出的十位和个位,计数结果直接可以通过数码管的显示数据读出来,当计数到达“23”时,此上面为高电平,正常发光2)计数器的输出信号随时钟信号的变化具有规律性,他们的变化遵循以下规律:C00 1 2 3 4 5 6 7 8 9 0 10 0 0 0 0 0 0 0 0 0 0 0C02 3 4 5 6 7 8 9 0 1 2 30 0 0 0 0 0 0 0 0 0 0 13)计数器的时钟信号采用实验平台的0时钟资源:可以通过跳线选择不同的时钟频率,0的时钟范围是~20此频率不能选择的太高,一般应选择16Hz以下开发平台的适配板上有20时钟资源,通过适配板上的跳线可以选择采用适配板的固定20频率信号或者I/O板上的0时钟资源(此两类时钟在管脚映射表中都称为0,当然对于此实验计数时钟频率不能太高,所以必须通过跳线选择较低的频率)0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 11 0 1 10 1 1 0 1 1 0 1 1 0 1 1 0 1 1 0 1 1 0 1 1 0 1 1 0 1 2 0 1 2 0 12 0 1 2六、源代码: ;__; 24(: _;: __(6 0) co: _);;24_: 0 23;__7(: 0 10) __ _:__(6 0);0=>_:=""; 1=>_:=""; 2=>_:=""; 3=>_:=""; 4=>_:=""; 5=>_:=""; 6=>_:=""; 7=>_:=""; 8=>_:=""; 9=>_:=""; =>_:=""; ;_;__7;:()(='1')_<=0; co<='0';(' ='1')(_=23) _<=0;co<='1';_<=_+1; co<='0';; ;;:(_)_t_t:;_t:=_ 10; _t:=_/10;<=__7(_t); <=__7(_t);; ;七、实验结果与分析:创建波形文件,进行功能仿真:进行时序仿真:实验结果照片正常计数状态,数码管8和数码管7进行输出显示,此时计数到4 清零信号有效,输出为“00”输出为“23”时,进位显示8被点亮八、讨论和回答问题及体会:1、信号与变量值带入有区别在变量的赋值语句中,该语句一旦执行,其值会立即被赋予变量,在执行下一条语句时,该变量的值就为上一句新赋的值而对于信号代入语句,该语句即使被执行也不会立即代入,下一条语句执行时,仍使用原来的信号值故在程序中计数时,要使用变量,否则会报错2、在程序的编写过程中,我们应该首先分析各个端口的优先级顺序,这一点就可以利用语句首先进行判断这一点是用语言进行设计数字逻辑电路的共同点,是我们学习过程中必须掌握的内容3、实验中,需要对每一个端口指定器件的引脚,在引脚指定过程中需要参照开发系统所给的I/O端口映射表,通过开发平台上每个I/O器件附近的I/O编号,在映射表中找到相应的引脚名,填入相应的对话框这一应该特别小心,也是实验最后成败的最为关键的一步4、在实验中所遇到的还有一个问题就是模式的选择,在此实验中,应该选择模式2注意频率资源的选择,选择的频率,但此频率不能选择的太高,一般应选择16Hz以下注意适配板上跳线,若跳线置右,则连接I/O板上的0,跳线置左,则选择适配板上的20时钟这些都是实验中的小问题,在实验中只有养成严谨科学的态度和作风,认真注意各个实验细节,才能保证实验的最后成功。
同步计数器的实验报告
同步计数器的实验报告同步计数器的实验报告引言:同步计数器是数字电路中常用的一种电路,它可以实现对输入信号的计数和同步输出。
本实验旨在通过搭建同步计数器电路,探究其工作原理和应用。
一、实验目的:1. 理解同步计数器的原理和工作方式;2. 掌握同步计数器的搭建方法;3. 分析同步计数器的应用场景。
二、实验器材和材料:1. 74LS74型D触发器芯片;2. 74LS47型BCD-7段数码管芯片;3. 电路实验板;4. 连接线。
三、实验步骤:1. 将74LS74芯片插入电路实验板中,注意芯片的方向;2. 连接74LS74芯片的时钟输入端、清零端、D输入端和输出端;3. 将74LS47芯片插入电路实验板中,并连接数码管的输入端;4. 连接电源,开启实验板。
四、实验原理:同步计数器是由多个触发器组成的,每个触发器都具有时钟输入端和输出端。
当时钟信号到来时,触发器根据输入端的电平状态改变输出端的电平状态。
同步计数器的输入信号会依次经过各个触发器,每个触发器都会对输入信号进行计数,当计数达到一定值时,触发器的输出端会产生一个脉冲信号,作为下一级触发器的时钟输入信号,从而实现同步计数。
五、实验结果:根据实验步骤搭建好同步计数器电路后,我们可以观察到数码管上的数字会随着时钟信号的输入而不断变化。
例如,当输入一个低电平信号时,数码管上的数字会逐次加1;当输入一个高电平信号时,数码管上的数字会逐次减1。
这说明同步计数器可以实现对输入信号的计数,并且可以根据需要进行加法计数或减法计数。
六、实验分析:同步计数器广泛应用于各种计数场景中。
例如,在工业自动化中,同步计数器可以用于对生产过程中的产品数量进行计数,从而实现自动化控制;在电子游戏中,同步计数器可以用于计分功能的实现;在计算机中,同步计数器可以用于时序控制和同步信号的生成等。
七、实验总结:通过本次实验,我们深入了解了同步计数器的原理和工作方式,并成功搭建了同步计数器电路。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
学生实验报告
实验课名称:VHDL硬件描述语言
实验项目名称:同步计数器
专业名称:电子科学与技术
班级:电子科学与技术一班
学号:3205080134
学生姓名:黄红涛
教师姓名:程鸿亮
_____2010___年_11___月__13__日
组别_____________________ 同组同学_ 刘增辉
实验日期:2011 年11 月13 日实验室名称______ 成绩____ 一、实验名称:
同步计数器
二、实验目的与要求:
实验目的:
设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触发控制的VHDL描述方法以及异步清零的描述方法。
实验要求:
通过VHDL编程,实现一个同步二十四进制计数器,要求有1个异步清零端、1个时钟脉冲输入、驱动7段数码管显示的个位和十位信号端,具体接口说明如下图所示。
clk:计数时钟脉冲输入;
clr:异步清零信号,高电平有效,此
时输出显示为“00”
ten[6..0]:十位数的7段数码管显示输
出;
one[6..0]:个位数的7段数码管显示输
出;
首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。
在硬件实现中,要求:
1.用实验平台的按键实现清零(clr):采用模式2的输入方式,要求用键1实现清零。
2.用实验平台的数码管实现计数输出的显示:要求使用数码管8显示十位、数码管7显示
个位。
3.用实验平台的LED发光阵列的LED8显示进位信号,要求当数码管输出“23”
时,进位输出有效。
4.计数器的时钟信号采用实验平台的clock0时钟资源:
可以通过跳线选择不同的时钟频率,clock0的时钟范围是0.5Hz~20MHz。
此频率不能选择的太高,一般应选择16Hz以下,同学们可以调节此跳线观察计数效果。
三、实验内容:
实验步骤:
1. 打开QuartusII软件,建立一个新的工程:
2. 建立VHDL文件:
3. 建立矢量波形文件
4. 进行功能仿真
5. 进行时序仿真
6. 器件的下载
四、实验条件:
1. WindowsXP操作系统
2. QuartusII EDA开发系统
3. 杭州康芯SOPC硬件开发平台
五、实验原理:
1.程序流程图:
开始
结束
2
硬件设置与调试原理:
1)采用模式2的输入方式,用验平台的按键实现清零(clr),用LED8作为进位输出指示灯,用数码管8显示十位、数码管7显示个位,当异步清零端为高电平‘1’时,无论当前计数器的输出为多少,即可改变输出结果为“00”,数码管8,数码管7分别显示输出的十位和个位,计数结果直接可以通过数码管的显示数据读出来,当计数到达“23”时,此LED 上面为高电平,正常发光。
2)计数器的输出信号随时钟信号clk 的变化具有规律性,他们的变化遵循以下规律:
3)计数器的时钟信号采用实验平台的clock0时钟资源:可以通过跳线选择不同的时钟频率,clock0的时钟范围是0.5Hz ~20MHz 。
此频率不能选择的太高,一般应选择16Hz 以下.开发平台的适配板上有20MHz 时钟资源,通过适配板上的跳线可以选择采用适配板的固定20MHz 频率信号或者I/O 板上的clock0时钟资源(此两类时钟在管脚映射表中都称为clock0,当然对于此实验计数时钟频率不能太高,所以必须通过跳线选择较低的频率).
六、源代码:library ieee;
use ieee.std_logic_1164.all; entity cnt24 is
port(clk,clr:in std_logic;
开始
结束
ten,one:out std_logic_vector(6 downto 0)
co:out std_logic);
end entity;
architecture one of cnt24 is
signal cnt_temp:integer rang 0 to 23;
function int_to_seg7(data:integer rang0 to 10)return std_logic_vector is variable out_temp:std_logic_vector(6 downto 0);
begin
case data is
when 0=>out_temp:="0111111";
when 1=>out_temp:="0000110";
when 2=>out_temp:="1011011";
when 3=>out_temp:="1001111";
when 4=>out_temp:="1100110";
when 5=>out_temp:="1101101";
when 6=>out_temp:="1111101";
when 7=>out_temp:="0000111";
when 8=>out_temp:="1111111";
when 9=>out_temp:="1101111";
when others=>out_temp:="0000000";
end case;
return out_temp;
end function int_to_seg7;
begin
count:process(clk,clr)
begin
if(clr='1') then
cnt_temp<=0;
co<='0';
elsif(clk'event and clk='1') then
if(cnt_temp=23) then
cnt_temp<=0;
co<='1';
else
cnt_temp<=cnt_temp+1;
co<='0';
end if;
end if;
end process count;
display:process(cnt_temp)
variable one_t,ten_t:integer;
begin
one_t:=cnt_temp mod 10;
ten_t:=cnt_temp/10;
one<=int_to_seg7(one_t);
ten<=int_to_seg7(ten_t);
end process display;
end architecture one;
七、实验结果与分析:
创建波形文件,进行功能仿真:
进行时序仿真:
实验结果照片
正常计数状态,数码管8和数码管7进行输出显示,此时计数到4。
清零信号有效,输出为“00”。
输出为“23”时,进位显示LED8被点亮。
八、讨论和回答问题及体会:
1、信号与变量值带入有区别。
在变量的赋值语句中,该语句一旦执行,其值会立即被赋予变量,在执行下一条语句时,该变量的值就为上一句新赋的值。
而对于信号代入语句,该语句即使被执行也不会立即代入,下一条语句执行时,仍使用原来的信号值。
故在程序中计数时,要使用变量,否则会报错。
2、在程序的编写过程中,我们应该首先分析各个端口的优先级顺序,这一点就
可以利用if语句首先进行判断。
这一点是用VHDL语言进行设计数字逻辑电路的共同点,是我们学习过程中必须掌握的内容。
3、实验中,需要对每一个端口指定器件的引脚,在引脚指定过程中需要参照开发系统所给的I/O端口映射表,通过开发平台上每个I/O器件附近的I/O编号,在映射表中找到相应的引脚名,填入相应的对话框。
这一应该特别小心,也是实验最后成败的最为关键的一步。
4、在实验中所遇到的还有一个问题就是模式的选择,在此实验中,应该选择模式2。
注意频率资源的选择,选择Clock的频率,但此频率不能选择的太高,一般应选择16Hz以下。
注意适配板上跳线,若跳线置右,则连接I/O板上的clock0,跳线置左,则选择适配板上的20MHz时钟。
这些都是实验中的小问题,在实验中只有养成严谨科学的态度和作风,认真注意各个实验细节,才能保证实验的最后成功。