EDA课程设计报告——停车场停车位显示系统(基于QUARTUS II软件)

合集下载

数据结构课程设计停车场管理系统设计报告2

数据结构课程设计停车场管理系统设计报告2

数据结构课程设计停车场管理系统设计报告2停车场管理系统设计报告一、引言停车场管理系统是一种用于管理和控制停车场内停车位的软件系统。

本报告旨在详细描述设计一个停车场管理系统的过程,并介绍系统的功能、架构、模块设计以及相关技术细节。

二、系统概述本停车场管理系统旨在提供一个高效、智能化的停车场管理解决方案,以便实现停车场资源的合理利用和车辆流量的有效管理。

系统主要包括以下功能模块:1. 车辆入场管理:记录车辆的入场时间、车牌号码等信息,并分配合适的停车位。

2. 车辆出场管理:记录车辆的出场时间,计算停车费用,并提供支付方式。

3. 停车位管理:实时监测和管理停车位的占用情况,提供可用停车位的查询功能。

4. 数据统计与分析:根据车辆进出记录,生成报表,分析车流量、停车时长等数据。

5. 系统设置:包括管理员账号管理、停车场信息设置等。

三、系统架构本停车场管理系统采用三层架构,包括表现层、业务逻辑层和数据访问层。

1. 表现层:用户通过图形界面与系统进行交互,输入车辆信息、查询停车位、支付停车费用等。

2. 业务逻辑层:负责处理用户请求,实现车辆入场、出场管理,停车位管理以及数据统计与分析功能。

3. 数据访问层:负责与数据库进行交互,实现数据的存取和管理。

四、模块设计1. 车辆入场管理模块该模块主要包括车辆信息录入、停车位分配和入场记录保存等功能。

用户在系统界面输入车辆信息后,系统将分配一个合适的停车位,并将车辆信息和入场时间保存至数据库中。

2. 车辆出场管理模块该模块主要包括出场记录查询、停车费用计算和支付功能。

用户通过输入车牌号码查询出场记录,系统将根据停车时间计算停车费用,并提供多种支付方式供用户选择。

3. 停车位管理模块该模块实时监测和管理停车位的占用情况,提供可用停车位的查询功能。

系统将通过查询数据库中的停车位信息,实时更新停车位的占用状态,并在系统界面显示可用停车位的数量。

4. 数据统计与分析模块该模块根据车辆进出记录,生成报表,分析车流量、停车时长等数据。

数据结构课程设计——停车场

数据结构课程设计——停车场

数据结构课程设计——停车场在当今社会,随着汽车数量的不断增加,停车场的管理变得日益重要。

一个高效、合理的停车场管理系统不仅能够提高停车场的使用效率,还能为车主提供更好的服务体验。

在本次数据结构课程设计中,我们将深入探讨如何设计一个实用的停车场管理系统。

一、需求分析首先,我们需要明确停车场管理系统的基本需求。

一般来说,它应该具备以下功能:1、车辆的入场登记,包括车辆的车牌号、入场时间等信息。

2、车辆的出场结算,根据停车时间计算停车费用。

3、实时显示停车场内的车位使用情况,以便车主了解是否有空闲车位。

4、能够支持不同类型的车辆,如小型车、中型车、大型车等,并根据车辆类型收取不同的费用。

二、数据结构选择为了实现上述功能,我们需要选择合适的数据结构来存储和管理停车场的相关信息。

1、对于车辆信息的存储,我们可以使用链表。

链表的优点是插入和删除操作比较方便,可以快速地对车辆的入场和出场进行处理。

2、对于车位的管理,我们可以使用栈或者队列。

如果采用栈的方式,先进后出,适合模拟停车场的一端进出;如果采用队列的方式,先进先出,更符合一些实际场景中车辆按顺序入场和出场的情况。

3、为了快速查找车辆的信息,我们还可以使用哈希表,通过车牌号作为键,快速获取车辆的相关数据。

三、系统设计1、入场流程当车辆入场时,系统会获取车辆的车牌号、车型和入场时间等信息。

将这些信息存储到链表中,并在车位管理的数据结构(如栈或队列)中为车辆分配一个车位。

同时,更新停车场内的车位使用情况。

2、出场流程车辆出场时,根据车牌号在链表中查找车辆的入场时间等信息,计算停车时间和费用。

然后在车位管理的数据结构中释放车位,并更新车位使用情况。

3、车位显示实时统计车位管理数据结构中的空闲车位数量,并展示给车主,让他们能够提前了解停车场的空位情况。

4、费用计算根据车辆的车型和停车时间,按照预设的收费标准计算停车费用。

四、算法实现1、车辆入场算法```cvoid vehicleEntry(char plateNumber, int vehicleType) {Vehicle newVehicle =(Vehicle )malloc(sizeof(Vehicle));strcpy(newVehicle>plateNumber, plateNumber);newVehicle>vehicleType = vehicleType;newVehicle>entryTime = time(NULL);//将车辆信息插入链表insertVehicle(newVehicle);//为车辆分配车位allocateParkingSpace(newVehicle);updateParkingStatus();}```2、车辆出场算法void vehicleExit(char plateNumber) {Vehicle vehicle = searchVehicle(plateNumber);if (vehicle == NULL) {printf("未找到该车辆信息\n");return;}double parkingFee = calculateFee(vehicle);//释放车位releaseParkingSpace(vehicle);//从链表中删除车辆信息deleteVehicle(vehicle);updateParkingStatus();printf("车牌号:%s,停车费用:%2f 元\n", plateNumber, parkingFee);}```3、车位分配算法(以栈为例)void allocateParkingSpace(Vehicle vehicle) {if (top < MAX_PARKING_SPACES 1) {parkingSpaces++top = vehicle;vehicle>parkingSpaceNumber = top + 1;} else {printf("停车场已满\n");}}```4、车位释放算法```cvoid releaseParkingSpace(Vehicle vehicle) {if (top >= 0 && parkingSpacestop == vehicle) {parkingSpacestop = NULL;top;} else {printf("车位释放错误\n");}}```五、系统测试在完成系统的开发后,我们需要进行充分的测试以确保系统的稳定性和正确性。

停车系统实验报告

停车系统实验报告

一、实验背景随着城市化进程的加快,汽车数量不断增加,停车难问题日益突出。

为了提高停车效率,减少交通拥堵,实现停车场管理的智能化,我们设计并实现了一个基于数据结构的停车场管理系统。

本系统采用栈和队列数据结构模拟停车场的运行过程,实现了车辆进出、停车位置分配、费用计算等功能。

二、实验目的1. 理解并掌握栈和队列数据结构在停车场管理中的应用。

2. 设计并实现一个停车场管理系统,实现车辆进出、停车位置分配、费用计算等功能。

3. 体会数据结构在实际问题中的应用价值。

三、实验内容1. 系统设计(1)数据结构设计停车场:采用顺序栈实现,栈顶表示停车场最北端,栈底表示停车场最南端。

便道:采用链队列实现,队首表示便道最北端,队尾表示便道最南端。

汽车信息:定义一个结构体,包含车牌号、到达时间、离开时间、停车费用等属性。

(2)功能模块设计进出停车场:根据车辆到达或离开的时间,判断车辆是进入停车场还是离开停车场。

停车位置分配:根据停车场和便道的实际情况,为车辆分配停车位置。

费用计算:根据车辆在停车场停留的时间,计算停车费用。

输出结果:输出每辆车到达后的停车位置、离开时的费用和停留时间。

2. 系统实现(1)数据结构实现顺序栈:使用数组实现,提供入栈、出栈、判空等操作。

链队列:使用链表实现,提供入队、出队、判空等操作。

(2)功能模块实现进出停车场:根据车辆到达或离开的时间,判断车辆是进入停车场还是离开停车场。

停车位置分配:根据停车场和便道的实际情况,为车辆分配停车位置。

费用计算:根据车辆在停车场停留的时间,计算停车费用。

输出结果:输出每辆车到达后的停车位置、离开时的费用和停留时间。

3. 系统测试(1)功能测试测试车辆进出停车场功能。

测试停车位置分配功能。

测试费用计算功能。

(2)性能测试测试系统在高并发情况下的性能。

四、实验结果与分析1. 功能测试结果经过测试,系统各项功能均能正常运行,满足设计要求。

2. 性能测试结果在高并发情况下,系统运行稳定,性能良好。

停车位智慧系统设计设计方案

停车位智慧系统设计设计方案

停车位智慧系统设计设计方案停车位智慧系统可以帮助车主快速、便捷地找到合适的停车位,并提供实时的停车位信息,提高停车效率和车位利用率。

以下是一个停车位智慧系统的设计方案。

1. 硬件设备停车位智慧系统需要配备一定数量的硬件设备来实现功能:- 地磁传感器:安装在每个停车位上,用于检测停车位的使用情况,实时检测车辆的到达和离开。

- 摄像头:安装在停车场入口和出口,用于识别车辆的车牌号码,记录车辆的进出时间。

- 智能屏幕:安装在停车场入口处,用于显示停车位的实时使用情况和导航信息。

2. 软件系统停车位智慧系统需要一个软件系统来处理和管理数据:- 数据云端存储:将停车位的实时使用情况和车辆的进出时间等数据存储在云端服务器中,方便管理和查询。

- 数据分析算法:根据停车位的使用情况和需求,设计算法来预测停车位的空闲时间和预约需求,优化停车资源的利用。

- 导航系统:根据停车场的实时使用情况和车辆的目的地,提供最佳的导航路线,减少寻找停车位的时间。

3. 功能和流程停车位智慧系统的主要功能和流程如下:- 车辆进入停车场时,摄像头识别车牌号码,并将其与停车位匹配。

如果停车位已被占用,系统会显示停车位已满的信息。

- 车辆离开停车场时,摄像头再次识别车牌号码,并记录车辆的离开时间,同时释放停车位。

- 车主通过智能手机App或智能屏幕,可以查看停车场的实时使用情况和导航信息。

如果需要预约停车位,可以提前在App上进行预约。

- 系统根据停车位的使用情况和需求,提供最佳的导航路线,车主可以根据导航信息快速找到空闲的停车位。

- 系统根据停车位的使用情况和车辆的进出时间,进行数据分析,预测停车位的空闲时间和预约需求,优化停车资源的利用。

4. 用户界面设计停车位智慧系统的用户界面应简洁、直观,方便用户操作。

用户可以通过智能手机App或智能屏幕来使用系统,界面上应包括以下信息:- 停车场的实时使用情况:显示停车位的空闲或占用状态,车主可以根据实时情况选择合适的停车位。

EDA实验报告 (2)

EDA实验报告 (2)

实验一QUARTUS II软件安装、基本界面及设计入门一、实验目的:QUARTUSII是Altera公司提供的EDA工具,是当今业界最优秀的EDA设计工具之一。

提供了一种与结构无关的设计环境,使得电子设计人员能够方便地进行设计输入、快速处理和器件编程。

通过本次实验使学生熟悉QUARTUSII软件的安装,基本界面及基本操作,并练习使用QUARTUS的图形编辑器绘制电路图。

二、实验内容:1、安装QUARTUSII软件;2、熟悉QUARTUSII基本界面及操作;3通过一个4位加法器的设计实例来熟悉采用图形输入方式进行简单逻辑设计的步骤。

三、实验仪器:1、PC机一台;2、QUARTUSII软件;3、EDA实验箱。

四、实验原理:4位加法器是一种可实现两个4位二进制数的加法操作的器件。

输入两个4位二进制的被加数A和B,以及输入进位Ci,输出为一个4位二进制和数D和输出进位数Co。

半加操作就是求两个加数A、B的和,输出本位和数S及进位数C。

全加器有3位输入,分别是加数A、B和一个进位Ci。

将这3个数相加,得出本位和数(全加和数)D和进位数Co。

全加器由两个半加器和一个或门组成。

五、实验步骤:安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。

设计半加器:在进行半加器模块逻辑设计时,采用由上至下的设计方法,在进行设计输入时,需要由下至上分级输入,使用QuartusIIGraphic Editor进行设计输入的步骤如下。

(1)、打开QUARTUSII软件,选择File-new project wizard…新建一个设计实体名为has的项目文件;(2)、新建文件,在block.bdf窗口下添加元件符号,并连接。

如下图:半加器原理图(3)、将此文件另存为has.gdf的文件。

(4)、在主菜单中选择Processing→Start Compilation命令,系统对设计进行编译,同时打开Compilation Report Flow Summary窗体,Status视图显示编译进程。

Quartus II 9.0软件的使用 EDA实验报告

Quartus II 9.0软件的使用 EDA实验报告

Quartus II 9.0软件的使用1.实验目的和要求本实验为验证性实验,其目的是熟悉Quartus II 9.0软件的使用,学会利用Quartus II 9.0软件来完成整个EDA开发的流程。

2.实验原理利用VHDL完成电路设计后,必须借助EDA工具中的综合器、适配器、时序仿真器和编程器等工具进行相应的处理后,才能使此项设计在FPGA上完成硬件实现,并得到硬件测试,从而使VHDL设计得到最终的验证。

Quartus II是Altera提供的FPGA/CPLD开发集成环境,包括模块化的编译器,能满足各种特定设计的需要,同时也支持第三方的仿真工具。

3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48 EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个实验的软件环境是:Quartus II 9.0软件4.操作方法与实验步骤利用Quartus II 9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。

利用Quartus II 9.0软件实现引脚锁定和编译文件下载。

利用Quartus II 9.0软件实现原理图输入设计文件的编辑和产生相应的原理图符号元件。

5.实验内容及实验数据记录1)新建工程(文本输入法)2)新建工程(原理图输入法)3)编译工程选择如上菜单栏中的选项或者可通过Processing->Start Complacation进行编译工程。

4)时序仿真选择如上菜单栏中的选项或者可通过Processing->Start Complacation进行编译工程。

5)下载程序Tools-〉Programmer6.实验数据处理与分析1)任何一项设计都是一项工程(实验三中半加器、一位全加器以及8位全加器的设计都应该新建工程,不可在同一个工程中进行设计),不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。

停车场课程设计报告

停车场课程设计报告
3、测试数据(示例)
设n=2,输入数据为:(‘A’,1,5),(‘A’,2,10),(‘D’,1,15),(‘A’,3,20),(‘A’,4,25),(‘A’,5,30),(‘D’,2,35),(‘D’,4,40),(‘E’,0,0)。其中:‘A’表示到达(Arrival);‘D’表示(Departure);‘E’表示输入结束(End)。
③详细设计:详细说明各功能模块的实现过程,算法、技巧等。重点功能部分要加上清晰的程序注释。
④效果及存在问题:说明系统的运行效果(附上运行界面图片)、存在哪些不足以及预期的解决办法。
⑤心得体会:谈谈自己在课程设计过程中的心得体会。
(8)课程设计说明书用A4纸统一打印,装订按学校的统一要求完成。
(9)课程设计的程序以软盘形式上交,文件夹名称为学生姓名及学号的组合。上交成果中要有你的磁盘文件说明(见下图)。未经指导教师许可或无故不到者,缺勤率达50%的学生不能参加答辩。答辩时,设计者在5分钟内阐述自己的设计过程和最终结果,突出设计中遇到的主要问题和解决方法,然后回答教师提问。每位学生答辩总时间一般不超过15分钟。
设计目的即是用C语言模拟这一过程,并应用这学期所学的数据结构知识。了解并初步掌握设计、实现较大系统的完整过程,包括系统分析、编码设计、系统集成、以及调试分析,熟练掌握数据结构的选择、设计、实现以及操作方法,为进一步的学习打好基础。
二.
要实现停车场管理,首先的确定模型,即现实生活中具体的事物以及事物之间的相互关系怎样用数据以及数据结构合理的模拟,并实现相应的功能。这里我选用定义一个结构体,其中包括车牌号和停入时间,代表了一辆车的必要信息。停车场因为车位是固定的,所以选用了栈来模拟。而停车场外的便道则用链队来表示,因为便道上的车有先来后到的顺序,所以用队列来模拟,因为队列中的汽车有可能放弃排队,因此用链队可以方便的删除队列的元素。

数据结构-停车场管理系统实验报告

数据结构-停车场管理系统实验报告

数据结构-停车场管理系统实验报告数据结构停车场管理系统实验报告一、实验目的本次实验旨在通过设计和实现一个停车场管理系统,深入理解和应用数据结构的知识,包括栈、队列、链表等,提高编程能力和解决实际问题的能力。

二、实验环境本次实验使用的编程语言为C++,开发环境为Visual Studio 2019。

三、需求分析1、停车场内有固定数量的停车位。

2、车辆进入停车场时,记录车辆信息(车牌号、进入时间)。

3、车辆离开停车场时,计算停车费用并输出相关信息。

4、能够显示停车场内车辆的停放情况。

四、数据结构设计1、为了实现车辆的先进先出,选择队列来存储停车场内的车辆信息。

2、用栈来存储临时停放的车辆信息,以便在停车场已满时进行处理。

五、算法设计1、车辆进入停车场检查停车场是否已满。

如果未满,将车辆信息加入队列,并记录进入时间。

2、车辆离开停车场在队列中查找要离开的车辆。

计算停车时间和费用。

将车辆从队列中删除。

3、显示停车场内车辆停放情况遍历队列,输出车辆信息。

六、主要代码实现```cppinclude <iostream>include <string>include <ctime>using namespace std;//车辆结构体struct Car {string licensePlate; //车牌号time_t entryTime; //进入时间};//队列类class Queue {private:Car data;int front, rear, capacity;public:Queue(int size) {capacity = size;data = new Carcapacity;front = rear = 0;}~Queue(){delete data;}bool isFull(){return (rear + 1) % capacity == front;}bool isEmpty(){return front == rear;}void enqueue(Car car) {if (isFull()){cout <<"停车场已满!"<< endl; return;}datarear = car;rear =(rear + 1) % capacity;}Car dequeue(){if (isEmpty()){cout <<"停车场为空!"<< endl;return Car();}Car car = datafront;front =(front + 1) % capacity;return car;}void display(){if (isEmpty()){cout <<"停车场内没有车辆。

停车场管理系统课程设计报告

停车场管理系统课程设计报告

开始 Time++ Time<24 YES 生成新来车辆 车辆达成 离开条件 YES NO
NO
便道未满, 车 辆还有剩余
车辆离开 YES NO
车辆进入便道
停车场有空位
NO
停车场未满
YES 便道车辆进入停车场
YES 车辆进入停车场 输出一天统 计数据
NO 车辆有剩余 结束 YES 输出 FULL
函数功能: void OpenForDay()//初始化,打开文件,变量赋初值 void NewCars()//通过随机数生成每小时新来车辆的数目及每辆车的车牌号 void CarArrived()//车辆到达,停车场空位减少,相应车位记为非空,开始记录车辆的 到达时间、停留时间及车号 void CarDeparture()//车辆离开,停车场空位增加,车位初始化,计算车费并输出提
2.接着是对整个停车场系统的分析,第一,这个停车场管理系统是模拟了一个 30 车位 的停车场与 5 车位的便道相结合的系统, 功能是罗列出一天内停车场内车辆出入情况以 及每辆车停泊的位置(系统还会给出车的车牌号)和时间,最后是统计车辆出入的总车 次,车辆停泊的总时间,停车场的总收入;第二,明确一些临界问题。1,若车位已满, 则车必须在便道内等待,不需要付费;2,车辆停留 1 小时后离开的概率是 25%,每多 停留 1 小时后离开概率增加 25%,4 小时后一定要离开;3,若有空车位,但此时便道上 还有车在等待,便道上的车先进入停车场,新到的车仍需在便道上等待;
70337.This car has stayed for 1 hour(s).30 dollar should be payed for. 60296.This car has stayed for 3 hour(s).90 dollar should be payed for. 60297.This car has stayed for 3 hour(s).90 dollar should be payed for. 60320.This car has stayed for 2 hour(s).60 dollar should be payed for. 60321.This car has stayed for 2 hour(s).60 dollar should be payed for. 70324.This car has stayed for 2 hour(s).60 dollar should be payed for. 70325.This car has stayed for 2 hour(s).60 dollar should be payed for. 70329.This car has stayed for 1 hour(s).30 dollar should be payed for. 60299.This car has stayed for 3 hour(s).90 dollar should be payed for. 60296.This car has stayed for 4 hour(s).120 dollar should be payed for. 60311.This car has stayed for 3 hour(s).90 dollar should be payed for.

停车场管理系统课程设计报告 最终版

停车场管理系统课程设计报告 最终版

目录1 实习目的 (1)2 问题描述 (1)3.1 设计基本要求 (1)3.2 停车场管理系统的主要功能 (2)4概要设计 (2)4.1设计思想 (2)4.2函数及功能要求 (3)5 详细设计 (4)5.1 数据结构的设计 (4)5.2 算法的设计思想及流程图 (5)5.2.1 主要函数的功能说明 (5)5.2.2 停车场管理系统流程图 (6)5.2.3 主要模块算法描述 (8)6 测试分析 (8)6.1 测试用例 (8)6.1.1 第一组测试用例 (8)6.1.2 第二组测试用例 (10)6.1.3 第三组测试用例 (11)6.2 测试结果分析 (12)7 使用说明 (12)8 总结 (13)参考文献 (15)附录 (16)停车场管理系统1 实习目的通过本次课程设计,了解并初步掌握设计、实现系统的完整过程,包括系统分析、编码设计、以及调试分析,熟练掌握数据结构的选择、设计、实现以及操作方法,为进一步的应用开发打好基础。

2 问题描述停车场是一条可以停放n辆车的狭窄通道,且只有一个大门。

汽车停放安到达时间的先后依次由北向南排列(大门在最南端,最先到达的第一辆车停在最北端)若停车场已经停满n辆车,后来的汽车在便道上等候,一旦有车开走,排在便道上的第一辆车可以开入;当停车场的某辆车要离开时,停在他后面的车要先后退为他让路,等它开出后其他车在按照原次序开入车场,每两停在车场的车要安时间长短缴费。

3 需求分析停车场是一条可以停放n辆车的狭窄通道,且只有一个大门。

汽车停放按到达时间的先后排列。

若停车场已经停满n辆车,后来的汽车在便道上等候。

一旦有车开走,排在便道上的第一辆车可以开入;当停车场的某辆车要离开时,停在他后面的车要先后退为他让路。

等它开出后,其他车再按照原次序开入车场,每辆停在车场的车要按时间长短缴费。

3.1 设计基本要求(1) 以栈模拟停车场,以队列模拟车场外的便道,按照从终端输入的数据序列进行模拟管理。

停车场空位显示课程设计

停车场空位显示课程设计

停车场空位显示课程设计一、课程目标知识目标:1. 学生能够理解停车场空位显示系统的基本原理,掌握其关键组成部分。

2. 学生能够运用所学的数学知识,进行简单的数据统计和概率计算,分析停车场的使用情况。

3. 学生了解并掌握基本的电路知识和编程原理,能够解释停车场空位显示系统的运作过程。

技能目标:1. 学生能够设计并实施一个简单的停车场空位显示系统模型,运用实际操作来展示其功能。

2. 学生通过小组合作,培养解决问题的能力,提高团队协作和沟通技巧。

3. 学生能够运用信息技术工具,进行数据收集、处理和分析,形成有效的信息展示。

情感态度价值观目标:1. 学生通过本课程的学习,培养对科学技术的兴趣和探究精神,增强创新意识和实践能力。

2. 学生能够关注现实生活中的问题,认识到科技在解决实际问题中的重要作用,提升社会责任感。

3. 学生在学习过程中,培养耐心、细致和严谨的学习态度,树立正确的价值观,认识到团队协作的重要性。

本课程以《信息技术》教材中关于物联网应用的相关章节为基础,结合以下教学内容:1. 基本原理:介绍停车场空位显示系统的基本工作原理,包括传感器、数据传输、数据处理和显示等组成部分。

2. 数据统计与概率计算:讲解如何运用数学知识,对停车场空位数据进行统计和分析,预测空位情况。

3. 电路知识与编程原理:教授基本的电路知识和编程原理,使学生能够理解并实现停车场空位显示系统的电路连接和程序编写。

教学大纲安排如下:第一课时:基本原理学习,让学生了解停车场空位显示系统的组成部分及其工作原理。

第二课时:数据统计与概率计算,通过实例分析,教授学生如何进行停车场空位数据的统计和分析。

第三课时:电路知识与编程原理,引导学生学习基本的电路知识和编程原理,为后续实践操作奠定基础。

第四课时:实践操作,学生以小组为单位,设计并实施一个简单的停车场空位显示系统模型。

第五课时:总结与展示,学生对所学内容进行总结,展示自己的实践成果,并进行相互评价和讨论。

EDA课程设计报告(停车场管理)甄选范文

EDA课程设计报告(停车场管理)甄选范文

EDA课程设计报告(停车场管理)长沙学院课程设计说明书题目模拟停车场管理系统的设计系(部)电子与通信工程系专业(班级)姓名学号指导教师刘辉、龙英、谢明华起止日期2010.5.31-2010.6.11I / 27 doc可编辑EDA技术课程设计任务书系(部):电子与通信工程系专业:电子信息工程指导教师:刘辉、龙英、谢明华长沙学院课程设计鉴定表目录1.设计思路分析 (1)1.1题目要求 (1)1.2设计思路 (1)2.系统结构设计及分析 (2)2.1分频模块 (4)2.2消抖模块 (4)2.3车牌显示模块 (7)2.4计时模块 (11)2.5费率器模块 (12)2.6滚动模块 (13)2.7译码模块 (17)3.使用说明 (17)4.心得与体会 (18)参考文献 (18)1.设计思路分析1.1题目要求实现一个模拟停车场管理系统,使用8位拨码开关表示车号,按键A按下一次表示该车进入停车场,同时数码管显示该车车号信息(3个数码管显示拨码开关对应的十进制数)及收费费率(位方便模拟,按1元/分钟);按键B按下一次表示该车从停车场出来,该车出来时用数码管显示的信息包括:3位车号、停车时间(2位小时数、两位分钟数)、3位停车费用。

(数码管位数不够可采用滚动显示的方式)1.2设计思路分析题目要求,宜采用“模块法”的设计方法。

(模块法——先用VHDL语言设计各个模块,将这些模块生成图形文件,在顶层文件中再调用这些图形。

)具体思路:当一辆车进入停车场,按键A被按下:计时器开始计时,同时把该车的车牌号和费率送入数码管显示(3个数码管显示拨码开关对应的十进制数:采用BCD码转换的方法;费率显示采用输入设置,可以通过按键+1的方式设置从1~99的费率)。

当车驶出停车场时,按键B被按下,此时计时器停止计时,并把计时时间送入数码管显示(2位小时数、两位分钟数),同时显示车牌号信息及停车费用(三位显示:停车费用=停车时间×费率)。

小区停车场车位自动显示系统设计(doc 49页)

小区停车场车位自动显示系统设计(doc 49页)

石家庄铁道大学四方学院毕业设计小区停车场车位自动显示系统的设计The design of residential parking lot parking automatic display system专业电子信息工程毕业设计成绩单毕业设计任务书摘要小区停车场管理系统是为了提高停车场的运行效率,系统的信息互通,把相关科学技术发展领域的最新成果合理有效的应用到小区停车管理系统的完善和发展中。

为了节约大量的人力、物理、财力。

本设计针对目前停车场管理存在的集成自动化程度低、人性化和运行效率低下等的不足,结合目前科学技术领域的最新研究成果,设计了一种技术较先进.性能可靠.自动化程度较高的停车场管理系统。

本设计采用数码管对小区停车场的数量进行显示同时带有报警功能,主要操作流程是红外线采集车辆信息,STC89C52单片机处理红外线所采集的信息,然后交由数码管显示,增加了人性化的设计,本系统采用STC89C52单片机、红外线对管和LM324,系统将三者合理有效的高度集中在一块电路板上,这样做既节约的成本又节省了空间。

本设计的指导思想立足与提高小区停车场管理系统的可靠性、安全性和高效性,对目前我国各大中城市所面临的“停车难”的问题的解决,具有一定的促进作用。

关键词:STC89C52单片机LM324红外线对管数码管AbstractCar park management system is in order to improve the efficiency of parking lot, communication system, the relevant latest achievements in the field of science and technology development of reasonable and effective application to the district parking management system perfecting and developing. In order to save a lot of manpower, physical and financial resources. This design based on the current parking lot management integration of the low degree of automation, human and low operation efficiency, etc, combining the latest research achievements in the field of science and technology, we design a more advanced technology. Reliable performance, high degree of automation of parking lot management system.This design using digital tube to carry on the statistics of the community the number of parking lots and with alarm function, and the main operation flow is infrared acquisition vehicle information, information collected by infrared STC89C52 single-chip microcomputer processing, then to the digital tube display, increase the humanized design, the main microcontroller STC89C52 microcontroller, infrared tube and ML324 first, use ofreasonable and effective integration technology will three highly concentrated on a circuit board, it saves cost and save the space.This design guiding ideology based on and improve the reliability of car park management system, security and efficiency, at present our country facing the cities "parking" on the solution of the problem, has a certain role in promoting.Keywords:STC89C52 Chip LM324 Erection of digital tube Infrared Tube目录第1章绪论............................................................................................ 错误!未定义书签。

基于FPGA停车场停车位显示系统设计

基于FPGA停车场停车位显示系统设计

基于FPGA停车场停车位显示系统设计毕业设计(论文)开题报告1.文献综述:结合毕业设计(论文)课题情况,根据所查阅的文献资料,撰写2500字以上的文献综述,文后应列出所查阅的文献资料。

文献综述1.引言FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)、可编程逻辑器件PLD(Programmable Logic Device)等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路ASIC(Application Specific Integrated Circuit)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA能完成任何数字器件的功能,上至高性能CPU,下至简单的74系列电路,都可以用FPGA来实现。

FPGA如同一张白纸或是一堆积木,工程师可以通过传统的原理图什么是输入法,或是硬件描述语言自由设计一个数字系统。

通过软件仿真,我们可以事先验证设计的正确性。

在PCB完成以后,还可以利用FPGA的在线修改能力,随时修改设计而不必改动硬件电路。

使用FPGA来开发数字电路,可以大大缩短设计时间,减少PCB 面积,提高系统的可靠性。

PLD的这些优点使得PLD技术在90年代以后得到飞速的发展,同时也大大推动了电子设计自动化EDA(Electronic Design Automatic)毕业设计(论文)开题报告2.开题报告:一、课题的目的与意义;二、课题发展现状和前景展望;三、课题主要内容和要求;四、研究方法、步骤和措施开题报告一、课题的目的和意义本课题是要设计一个100个停车位的停车场车位显示系统,设计要求用10*10点阵表示停车场的100个车位,灯亮表示该车位为空,灯熄灭表示该车位有车。

EDA实习报告

EDA实习报告

目录引言(1)EDA简介(2)EDA的设计流程第一章实训目的第二章实训内容一、EDA 开发软件Max+plus II 或Quartus II1.1基本原理1.2条件要求1.3主要内容1.4实践步骤与结果分析(一)设计原理图或VHDL源程序1) 原理图2)VHDL源程序(二)器件及管脚逻分配图1)调试编译与仿真波形2)时序分析图1.5项目一的结论二、4位全加器设计2.1基本原理2.2条件要求2.3主要内容2.4方案及实现方法2.5实践步骤与结果分析(一)设计1 位全加器1) 1 位全加器原理图2)1位全加器仿真波形(二)四位全加器设计1)四位全加器原理图2)器件及管脚逻分配图3)调试编译与仿真波形4)时序分析图(三)项目二的结论三、8 线-3 线优先编码器3.1基本原理1、管脚2、真值表3.2条件要求3.3主要内容3.4方案及实现方法3.5实践步骤与结果分析(一)原理图与VHDL程序1) 3-8译码器的原理图2)VHDL程序(二)器件及管脚逻分配图(三)调试编译与仿真波形1、调试编译2、仿真波形(四)时序分析图(五)项目三的结论四、10 进制计数器设计4.1基本原理1、管脚2、真值表4.2条件要求4.3主要内容4.4方案及实现方法4.5实践步骤与结果分析(一)原理图与VHDL程序1) 10 进制计数器2)VHDL程序(二)器件及管脚逻分配图(三)调试编译与仿真波形1、调试编译2、仿真波形(四)时序分析图(五)项目四的结论五、8 位循环移位寄存器5.1基本原理1、管脚2、真值表5.2条件要求5.3主要内容5.4方案及实现方法5.5实践步骤与结果分析(一)原理图与VHDL程序1) 8 位循环移位寄存器2)VHDL程序(二)器件及管脚逻分配图(三)调试编译与仿真波形1、调试编译2、仿真波形(四)时序分析图(五)项目三的结论第三章结论引言(1)EDA简介电子技术的迅猛发展,高新技术日新月异。

传统的电子技术设计方法,以不能帮助我们更好的、高效的完成设计任务。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录1 课程设计目的 (1)2 课程设计要求 (1)3 相关知识 (1)4 课程设计分析 (2)5系统设计仿真与波形分析 (9)6程序源代码 (9)7结束语 (16)8参考文献 (16)I停车场停车位显示系统1.课程设计目的利用所学的EDA设计方法设计停车场停车位显示系统,熟练使用使用QUARTUSII应用软件,进一步学习使用VHDL语言、原理图等EDA设计方法进行综合题目的方法。

2.课程设计内容设计一个有64个停车位的停车场,要求:(1)用8x8点阵表示停车场的64个车位,灯点亮表示该车位为空,灯熄灭表示该车位有车。

(2)车能够自由地停在任何空的停车位上,任何停车位上的车都可以离开停车场。

(3)停车场的初态是所有车位都没有车。

1.调试底层模块,并时序仿真。

2.设计顶层模块,并时序仿真。

3.撰写课程设计报告,设计报告要求及格式见附件3.相关知识随着新世纪经济持续快速发展,私人购车量大幅提高。

庞大的车辆系统给我们日常生活带来很多问题。

首先要面对的就是车辆停置的有效管理。

在停车场管理中,停车场的土地资源是有限的,因此在停车场土地资源的有效利用也就相当重要。

设计合理和完善的车位显示管理系统是很必要的。

本课题为停车场停车位显示系统设计,其中包括:传感器的选用、FPGA处理芯片的选定和系统功能程序的设计。

其中传感器是用来测量车位上是否有车,处理芯片对传感器测量数据进行分析。

当某个车位驶入一辆车,则传感器接收到信息并输出, 经过处理芯片对信号进行分析处理,并且输出显示。

在整个设计中停车位信息的传输是关键,车位信息检测和传输用到传感器,因而传感器的选用是设计的入口。

4.课程设计分析本课题为停车场停车位显示系统设计,首先要解决的是停车位车辆状态信息的检测和传输,然后对检测信号进行分析处理,最后将车位状态信息显示。

这里我用到位置传感器对车位信息进行检测,所以要在8*8停车场共64个停车位每个停车位安一个“车位传感器”,利用传感器检测停车场停车位停车状态,传感器检测到的信号通过总线送进FPGA 锁存后进行信息处理,最终通过显示器件将停车位状态信息显示。

系统设计框图如图3.1所示。

64个传感器并行信号输入FPGA 进行锁存,由分组模块程序对所有信号进行分组8个一组,共8组。

8组分组信号进入显示模块进行行和列扫描。

整个设计方案分两个大模块:分组模块和扫描显示模块。

图3.1系统设计方案框图系统设计总共分两个大模块:分组模块和显示模块;分组模块电路功能是对8*8点阵的64个信号进行分组,每8个信号一组,总共8组;显示模块的输入是分组模块分组后的输出信号,用来显示扫描后的行、列的位置,从而完成对64个并行信号FPGACLK分组扫描输出传0感1 器2 检3 测4 到· 的· 信· 号630~7 8~1516~23 24~31 32~3940~47 48~558*8点阵停车车位状态信息的显示。

QUARTUS II支持功能和时序仿真。

功能仿真用于大型设计编译适配之前的仿真,而时序仿真则是再编译适配生成时序信息文件之后进行的仿真。

系统仿真分以下步骤:(1)建立仿真波形;(2)设置输入信号仿真波形;(3)运行仿真器进行仿真。

4.1分组模块顶层图设计如图3.2所示,所有传感器的输出都接到系统电路的输入总线din[63...0],共64个输入端口。

在CLK时钟驱动下,LOCK对64个传感器输入信号进行锁存然后系统电路开始工作对输入信号进行分组,具体分为qout0~qout7共8组,每组8个。

以上提到的LOCK锁存信号,其实也就是动态扫描的扫描频率信号,它决定了系统的扫描频率。

图3.2分组模块顶层图4.2显示模块顶层图设计如图3.3所示din0~din7为上级分组模块分组后的十组信号,进入模块进行动态扫描;输出hang,lie分别是行和列的输出信号。

动态扫描是对8行8列传感器信号进行扫描,首先扫描输出第0行的值,然后扫描0~7列值对应输出;接着扫描输出第1行,再扫描第0~9列;就这样依次扫描输出。

对应的din0~din7为十组并行输入信号,每组十位;hang,lie分别是行和列的位置输出都为8个数据,对应64个点。

图3.3显示模块顶层图5系统设计仿真及波形分析QUARTUS II软件设计流程图如图4.1所示,由以下几部分组成。

图4.1QUARTUS II设计流程图设计输入编译仿真与定时分析编程系统测试修改设计(1)设计输入:可以采用原理图输入、HDL语言描述、EDIF网表输入及波形输入等几种方式。

(2)编译:先根据设计要求设定编译参数和编译策略,如器件的选择、逻辑综合方式的选择等。

然后根据设定的参数和策略对设计项目进行网表提取、逻辑综合和器件适配,并产生报告文件、延时信息文件及编程文件,供仿真和编程使用。

(3)仿真:仿真包括功能仿真、时序仿真和定时分析,可以利用软件的仿真功能来验证设计项目的逻辑功能是否正确。

(4)编程与验证:用经过仿真确认后的编程文件通过编程器(Programmer)将设计下载到芯片中,最后测试芯片在系统中的实际运用性能。

在设计过程中如果出现错误,则需重新回到设计输入阶段,改正错误或调整电路后重复上述过程。

5.1 分组模块仿真及波形分析图4.2分组模块仿真波形5.2 显示模块仿真及波形分析由图4.2分析可知,64bit的din信号在lock的时钟到来时被锁存,然后在clk的时钟下被分组到qout0~qout7。

图4.3显示模块仿真波形如图4.3所示在clk信号的驱动下d0到d7的信号被分时扫描到hang输出,同时从lie输出点阵的列选信号。

由此可以控制8*8点阵车位状态显示的相关信息。

6. 程序源代码分组模块设计程序如下:FENZU.VHDlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenzu isport(clk:in std_logic;lock:in std_logic;din:in std_logic_vector(99 downto 0);qout0,qout1,qout2,qout3,qout4,qout5,qout6,qout7,:out std_logic_vector(7 downto 0));end;architecture behave of fenzu issignal temp:std_logic_vector(63 downto 0);beginprocess(clk,din)beginif lock='1' thentemp<=din;elsif(clk'event and clk='1') thenqout0<=temp(9 downto 0);qout1<=temp(19 downto 10);qout2<=temp(29 downto 20);qout3<=temp(39 downto 30);qout4<=temp(49 downto 40);qout5<=temp(59 downto 50);qout6<=temp(69 downto 60);qout7<=temp(79 downto 70);qout8<=temp(89 downto 80);qout9<=temp(99 downto 90);end if;end process;end;显示模块设计程序如下:DISPLAY.VHDlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity display isport( clk:in std_logic;din0,din1,din2,din3,din4,din5,din6,din7,din8,din9:in std_logic_vector(9 downto 0);hang:out std_logic_vector(9 downto 0);lie:out std_logic_vector(9 downto 0));end;architecture behave of display issignal h_count,l_count:std_logic_vector(3 downto 0);signal co:std_logic;beginprocess(clk,din0,din1,din2,din3,din4,din5,din6,din7,din8,din9) beginif(clk'event and clk='1') thenif(l_count<9) thenl_count<=l_count+1; co<='0';elsel_count<="0000"; co<='1';end if;end if;case l_count iswhen "0000" =>lie<="1111111110";when "0001" =>lie<="1111111101";when "0010" =>lie<="1111111011";when "0011" =>lie<="1111110111";when "0100" =>lie<="1111101111";when "0101" =>lie<="1111011111";when "0110" =>lie<="1110111111";when "0111" =>lie<="1101111111";when "1000" =>lie<="1011111111";when "1001" =>lie<="0111111111";when others=>null;end case;end process;process(co)beginif co'event and co='1' thenif(h_count<9) thenh_count<=h_count+1;else h_count<="0000";end if;end if;case h_count iswhen "0000" =>hang<=din0;when "0001" =>hang<=din1;when "0010" =>hang<=din2;when "0011" =>hang<=din3;when "0100" =>hang<=din4;when "0101" =>hang<=din5;when "0110" =>hang<=din6;when "0111" =>hang<=din7;when "1000" =>hang<=din8;when "1001" =>hang<=din9;when others=>null;end case;end process;end;7.结束语本课题所涉及的领域不论是集成运放本身,还是FPGA芯片设计都是极具挑战性的。

相关文档
最新文档