数字逻辑电路数字钟课程设计报告模板
数电课程设计报告(数字时钟)
课题三、数字电子钟设计一:设计要求:(1)、准确计时,以数字形式显示时、分、秒的时间。
(2)、小时计时采用24进制的计时方式,分、秒采用60进制的计时方式。
(3)、具有快速校准时、分、秒的功能。
二:总体参考方案该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。
秒计数器计满60后向分计数器进位,分计数计满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。
计数器输出经译码器送显示器。
计时出现误差时可以用校时电路进行较时、校分、校秒。
三:单元电路设计1. 秒脉冲发生器用555定时器构成秒脉冲发生器如图3.1所示图3.1 555定时器构成的秒脉冲发生器1.1555定时器555的工作原理它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器C1同相比较端和低电平比较器C2的反相输入端的参考电平为2VCC/3和VCC/3。
C1和C2的输出端控制RS触发器状态和放电管开关状态。
当输入信号为低电平时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于VCC/3时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。
Vco是控制电压端(5脚),当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01微法的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。
T为放电管,当T导通时,将给接于脚7的电容器提供低阻放电电路.图3.1.1 555定时器的电路结构及其引脚图3.1.2 555电路的引脚功能2. 秒、分、时计数器秒、分计数器分和秒计数器都是模数M=60的计数器,其计数规律为00---01---…58---59---00…选74LS161作十位及个位计数器,再将它们级联组成模数M=60的计数器.图2.1 秒、分计时器图2.2 74LS161引脚图管脚图介绍:时钟CP和四个数据输入端P0~P3清零/MR使能CEP,CET置数PE数据输出端Q0~Q3以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET*SR PE CET CEP工作模式L X X X RESET (Clear)清零H L X X LOAD (Pn Qn)置数H H H H COUNT (Increment)计数H H L X NO CHANGE (Hold)保持(不变)H H X L NO CHANGE (Hold)保持(不变)图2.3 74LS161选择开关方式真值表时计数器时计数器是一个“24翻1”的特殊进制计数器,即当数字钟运行到24时59分59秒,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中的计时规律。
太原理工大学数电电子钟课设报告
数字电路逻辑课程设计数字电子钟班级:姓名:同组人:课程设计:数字电子钟一、设计目的1、了解计时器主体电路的组成及工作原理;2、熟悉集成电路及有关电子器件的使用;3、熟练使用multisim仿真软件,在其上仿真;4、通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,初步掌握简单实用电路的分析方法和工程设计方法。
二、设计内容1、设计一个具有时、分、秒显示的电子钟(23小时59分59秒),具有手动校时校分功能。
2、用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试。
3、选做(1)闹钟系统;(2)整点报时:从59分50秒起,每隔2s发出一次低音“嘟”的信号,连续五次,最后一次要求高音“嘀”的喜好,此信号结束即达到正点;(3)日历系统;三、设计原理1、二十四进制计数器利用计数器的计数功能:当LOAD=ENT=CLR’=ENP=1时,CLK端输入计数脉冲时计数器就开始进行8421BCD码的规律进行十进制加法计数,当低位片计数到4,同时高位片计数到2时,用一个与非门使两芯片同时清零。
计数器开始为另一轮新的计数,同时实现了24进制计数。
2、六十进制计数器与二十四进制计数器相似,用两片74ls160实现六十进制计数器。
当低位计数器计数到9后向高位进位并且低位清零;当高位计数到5,同时低位出现进位后,利用与非门实现向下一级的进位,同时高低位全部清零,计数器开始新一轮的计数,实现六十进制数。
四、设计方案1、电子钟由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路组成。
石英晶体振荡器产生的喜好经过分频器作为秒脉冲,秒脉冲进入计数器,计数器结果通过时分秒译码器显示时间。
数字钟框图如图所示:数字钟框图五、元器件选择主要芯片:74ls160、74ls00、74ls04、74ls30等等。
74ls160 74ls0074ls04 74ls3023 译码器 5959 校时电路 时计数器 分计数器 秒计数器分频器石英晶体振荡器译码器 译码器六、在multisim中搭建电路并运行仿真仿真电路图如下图所示1、显示系统2、校时系统3、报时系统七、实际电路验证1、操作过程(1)列出元件清单,领取所需器件,检测芯片的好坏;(2)由于要使用数码显示,所以首先要检查实验箱的好坏;(3)按照事先设计好的仿真电路图搭建实际电路,逐级实现逻辑功能;(4)尝试选作功能的实现;(5)完成实验,做好清理工作。
课程设计_数字电子钟设计报告 -终
数字闹钟设计报告目录1. 设计任务与要求 (2)2. 设计报告内容2.1实验名称 (2)2.2实验仪器及主要器件 (2)2.3实验基本原理 (3)2.4数字闹钟单元电路设计、参数计算和器件选择…………………………3-72.5数字闹钟电路图 (8)2.6数字闹钟的调试方法与过程 (8)2.7设计与调试过程的问题解决方案 (8)3.实验心得体会……………………………………………………………………9、101. 设计任务与要求数字闹钟的具体设计任务及要求如下:(1) 有“时”、“分”十进制显示, “秒”使用发光二极管闪烁表示。
(2) 以24小时为一个计时周期。
(3) 走时过程中能按预设的定时时间(精确到小时)启动闹钟, 以发光二极管闪烁表示, 启闹时间为3s~10s。
2. 设计报告内容2.1实验名称数字闹钟2.2实验仪器及主要器件(1)CD4511( 4片)、数码管(4片)(2)74LS00(6片)(3)74LS138(2片)(4)74LS163(6片)(5)LM555(1片)(6)电阻、电容、导线等(若干)(7)面包板(2片)、示波器等2.3数字闹钟基本原理要想构成数字闹钟, 首先应选择一个标准时间源——即秒信号发生器。
可以采用LM555构成多谐振荡器, 通过改变电阻来实现频率的变化, 使之产生1HZ的信号。
计时的规律是: 60秒=1分, 60分=1小时, 24小时=1天, 就需要对计数器分别设计为60进制和24进制的, 并发出驱动信号。
各计数器输出信号经译码器到数字显示器, 按“时”、“分”顺序将数字显示出来, 秒信号可以通过数码管边角的点来显示。
数字闹钟要求有定时响闹的功能, 故需要提供设定闹时电路和对比起闹电路。
设时电路应共享译码器到数字显示器, 以便使用者设定时间, 并可减少电路的芯片数量;而对比起闹电路提供声源, 应具有人工止闹功能, 止闹后不再重新操作, 将不再发生起闹等功能。
数字电子钟的逻辑框图如图所示。
电子数字时钟课程设计报告(数电)
电子数字时钟课程设计报告(数电)第一篇:电子数字时钟课程设计报告(数电)数字电子钟的设计1.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。
而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。
且由于数字钟包括组合逻辑电路和时叙电路。
通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
1.1设计指标1.时间以12小时为一个周期;2.显示时、分、秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。
4、完成3000字的课程设计报告2.功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。
工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现24小时的累计。
LED数码管将“时、分、秒”计数器的输出状态显示。
校时电路是来对“时、分、秒”显示数字进行校对调整。
2.2 原理框图3.功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。
数字逻辑电路课设—简易数字钟设计
数字逻辑电路课程设计报告多功能数组钟设计一、设计要求:通过Maxplus II使用VHDL语言编写设计一款多功能数字钟,具体功能如下:1、时钟时,分,秒分别显示且能正确计数。
2、整点报时,时钟在将要到达整点的最后十秒,给予蜂鸣提示。
3、校时,可以通过相应开关按钮对时钟的时分秒进行调整。
4、闹钟,用户可以预设闹铃时刻,当时间到达该时刻时,发出蜂鸣提示。
二、总体设计:1、设计框图:2、外部输入输出要求:外部输入要求:输入信号有1024Hz时钟信号、低电平有效的秒清零信号CLR、低电平有效的调分信号SETmin、低电平有效的调时信号SEThour;外部输出要求:整点报时信号SOUND(59分51/3/5/7秒时未500Hz低频声,59分59秒时为1kHz高频声)、时十位显示信号h1(a,b,c,d,e,f,g)、时个位显示信号h0(a ,b,c,d,e,f,g)、分十位显示信号m1及分个位m0、秒十位s1及秒个位s0;数码管显示位选信号SEL0/1/2等三个信号。
3、各模块功能:1)FREQ分频模块:整点报时用的1024Hz与512Hz的脉冲信号,这里的输入信号是1024Hz信号,所以只要一个二分频即可;时间基准采用1Hz输入信号直接提供(当然也可以分频取得,这里先用的是分频取得的信号,后考虑到精度问题而采用硬件频率信号。
2)秒计数模块SECOND:60进制,带有进位和清零功能的,输入为1Hz脉冲和低电平有效的清零信号CLR,输出秒个位、时位及进位信号CO。
3)分计数模块MINUTE60进制,带有进位和置数功能的,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位及进位信号CO。
4)时计数模块HOUR:24进制,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位。
5)扫描模块SELTIME:输入为秒(含个/十位)、分、时、扫描时钟CLK1K,输出为D和显示控制信号SEL。
6)整点报时功能模块ALERT:输入为分/秒信号,输出为高频声控Q1K和Q500。
数字逻辑课程设计报告 电子钟
数字逻辑课程设计报告电子钟数字逻辑课程设计报告-电子钟数字逻辑电路―课程设计报告数字逻辑课程设计报告-----多功能数字钟的同时实现一.设计目的:1.学会应用领域数字系统设计方法展开电路设计。
2.进一步提高maxplusii软件开发应用领域能力。
3.培育学生综合实验能力。
二.实验仪器与器材:1、开发软件maxplusii软件2、微机3、isp实验板se_3型isp数字实验开发系统4、打印机三.实验任务及建议设计一个多功能数字钟:1.能进行正常的时、分、秒计时功能。
1)用m6m5展开24十进制小时的表明;2)用m4m3展开60十进制分的表明;3)用m2m1进行60进制秒的显示。
2.利用按键实现“校时”、“校分”和“秒清单”功能。
1)按下sa键时,计时器快速递减,按24小时循环,并且计满23时返回00。
2)按下sb键时,计时器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。
3)按下sc,秒清零。
建议按下“sa”或“sb”均不能产生数字LBP(“sa”、“sb”按键就是存有晃动的,必须对“sa”“sb”展开窭晃动处置。
)3.能够利用实验板上的扬声器并作整点报时功能。
1)当计时到达59分50秒时开始报时,在59分50、52、54、56、58秒鸣叫,鸣叫声频为500hz。
2)抵达59分后60秒时为最后一声整点报时。
整点报时的频率为1kz。
4.能够惹出时1)闹时的最小时间间隙为10分钟。
2)惹出时长度为1分钟。
3)惹出时声响就是单频的。
5.用maxplusii软件设计符合以上功能要求的多功能数字钟,并用层次化设计方法设计该电路。
1)通过语言同时实现各模块的功能,然后再图画出高电路的顶层图。
2)消抖电路可以通过设计一个d触发器来实现,sa、sb、sc等为包含抖动的诸如信号,而电路的输出则是一个边沿整齐的输出信号。
3)其他的计时功能、表明功能、多路挑选功能、分频功能、报时功能和惹出时等功能模块都用vhdl语言实现。
数字逻辑EDA电子钟课程设计报告
多功能数字钟设计说明:1.系统顶层框图:各模块电路功能如下:1.秒计数器、分计数器、时计数器组成最根本的数字钟,其计数输出送7段译码电路由数码管显示。
2.基准频率分频器可分频出标准的1HZ频率信号,用于秒计数的时钟信号;分频出4HZ频率信号,用于校时、校分的快速递增信号;分频出64HZ频率信号,用于对按动"校时〞,"校分〞按键的消除抖动。
2.多功能数字钟构造框图:一、系统功能概述已完成功能1.完成时/分/秒的依次显示并正确计数,利用六位数码管显示;2.时/分/秒各段个位满10正确进位,秒/分能做到满60向前进位,有系统时间清零功能;3.定时器:实现整点报时,通过扬声器发出上下报时声音;4.时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进展调整;5.闹钟:实现分/时闹钟设置,在时钟到达设定时间时通过扬声器响铃。
有静音模式。
待改良功能:1. 系统没有万年历功能,正在思考设计方法。
2. 应添加秒表功能。
二、系统组成以及系统各局部的设计1.时计数模块时计数模块就是一个2位10进制计数器,记数到23清零。
VHDL的RTL描述如下:----t_h.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entityt_h isport(en,clk,clr:in std_logic;dout:out std_logic_vector(7 downto 0);c:out std_logic);endt_h;architecture rtl oft_h issignal t:std_logic_vector(7 downto 0);beginprocess(en,clk,clr)variable t:std_logic_vector(7 downto 0);beginif en='1' then --异步使能if clk 'event and clk='1' thent:=t+1;if t(3 downto 0)=*"A" then --个位等于10则十位加1t(7 downto 4):=t(7 downto 4)+1;t(3 downto 0):=*"0"; --个位清零end if;if t>*"23" then --大于23清零t:=*"00";end if;end if;if clr='1' then --异步清零t:=*"00";end if;end if;dout<=t;end process;end rtl;时计数器模块仿真波形如下从仿真波形可知,当计数到23时,下一个时钟上升沿到来时就清零了,符合设计要求。
数字电路课程设计数字时钟报告
数字电路课程设计数字时钟报告数字电路课程设计数字时钟介绍•数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。
•数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。
设计目标•开发一个功能完备、性能稳定的数字时钟电路。
•通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。
设计步骤1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时钟模式、闹钟功能等。
2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟发生器、计数器、显示器等。
3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路原理图。
4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟的各个功能模块。
5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。
6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时钟的稳定性和可靠性。
7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟的设计和实现。
设计要点•确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。
•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。
•考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。
•在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。
结论•数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。
•通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。
•数字时钟设计也是一个不断优化和改进的过程,通过反复测试和修改,可以得到一个性能稳定、功能完备的数字时钟电路。
数字钟的设计报告完整版
电子技术课程设计(数电部分)——简易数字钟专业____________姓名____________班级____________学号____________二〇一三年四月基于EDA的简易数字钟设计第一章设计背景与要求 (1)一.设计背景 (1)二.设计要求 (1)第二章系统概述 (1)2.1设计思想与方案选择 (1)2.2各功能块的组成 (2)第三章单元电路设计与分析 (2)3.1各单元电路的选择 (2)3.2基本计时电路子模块的设计及工作原理分析 (3)(1)脉冲发生电路(分频模块) (3)(2)60进制计数器模块 (5)(3)24进制计数器模块 (6)(4)两片60进制计数器和一片24进制计数器联级构成24小时电路 (8)3.3外围子模块电路的设计及工作原理分析 (9)(1)4位显示译码模块 (9)(2)整点报时电路原理及模块设计 (12)(3)校正开关及脉冲按键消抖动处理模块 (14)(4)12小时制与24小时制的切换电路 (15)第四章电路的调试过程 (19)4.1遇到的主要问题 (19)4.2现象原因分析及解决措施及效果 (19)4.3功能的测试方法、步骤,记录的数据 (20)第五章结束语 (20)5.1对设计题目的结论性意见及进一步改进的意向说明 (20)5.2总结设计的收获与体会 (21)参考文献: (21)第一章设计背景与要求一.设计背景在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。
数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。
数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。
二.设计要求设计一个简易数字钟,具有整点报时和校时功能。
(1)以四位LED数码管显示时、分,时为二十四进制。
(2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。
基于数字电路的数字时钟设计报告
题目二:设计一个多功能数字电子钟一、设计课题:多功能数字电子钟时间:19周整周〔2021.6.27~7.1〕 地点:学院数电实验室;二、设计目的:1.培养学生设计、调试常用数字电路系统的能力;2.提高学生应用计数器功能扩展、级联方法的能力;3.提高学生对计数、译码、显示系统的设计能力。
三、设计要求:1.准确计时,数字显示时、分;2.小时的计时要求12,分的计时要求为60;3.能够校正时间。
四、设计框图及其原理分析: 1. 数字钟的系统框图:说明:框图中的脉冲模块由555及一些电阻、电容构成多谐振荡电路产生秒脉冲;显示译码模块由共阴数码管和显示译码器74LS48构成;时、分电路模块由74LS160、74LS11、74LS10分别构成12和60进制计数器;12、24小时切换电路由二选一数据选择器74LS157及小时电路模块构成;校时电路由秒脉冲及单译码驱动译码驱动译码驱动译码驱动时十位 时个位 分十位 分个位脉冲12、24小时切换电路〔拓展〕时调 分调刀双掷开关构成。
2.原理分析:a、脉冲电路:脉冲电路由555及电阻15K、68K ,电容10nF、10uF构成如图S1所示的多谐振荡电路。
其工作原理是:电路刚开始通电时,电容C1两端电压不能突变,2脚为低电平,电路置位,3脚输出高电平,7脚被悬空,此时VCC通过R2、R3对C1充电,当充电时间到达t1=〔R2+R3〕*C1*ln2,6脚电压到达2VCC/3,电路复位,3脚输出低电平,同时555内部放电晶体管导通,使7脚也为低电平,C1通过R3向7脚放电,当放电时间为t2=R3*C1*ln2, 此时2脚电位下降到VCC/3,电路置位,3脚输出高电平,7脚被悬空,C1又被充电,如此周而复始。
〔脉宽Tw=〔R2+2*R3〕C1*ln2〕图S1b、显示译码电路:此局部电路由共阴数码管及显示译码器74LS48构成如图S2所示。
图S2由74LS48的功能表可知:D 、C 、B 、A 是BCD 码输入信号。
数字钟课程设计报告排版
数字钟课程设计报告排版一、课程目标知识目标:1. 学生能理解数字钟的基本原理和组成部分,掌握数字钟电路的设计方法。
2. 学生能够运用所学知识,设计并制作一个简单的数字钟。
3. 学生了解数字钟在日常生活和科技领域中的应用。
技能目标:1. 学生能够运用电子元件和工具进行数字钟的搭建,提高动手实践能力。
2. 学生掌握数字钟程序编写的基本方法,培养编程思维和解决问题的能力。
3. 学生能够通过课程学习,培养团队协作和沟通表达的能力。
情感态度价值观目标:1. 学生对电子技术产生兴趣,激发探索科学技术的热情。
2. 学生在学习过程中,养成积极思考、勇于创新的习惯。
3. 学生通过团队合作,学会尊重他人、分享成果,培养良好的团队精神。
课程性质:本课程为电子技术实践课程,注重理论与实践相结合,培养学生的动手能力和创新能力。
学生特点:五年级学生,具备一定的电子元件知识和编程基础,好奇心强,喜欢动手实践。
教学要求:结合学生特点,注重启发式教学,引导学生自主探究,关注学生个体差异,因材施教。
通过课程学习,使学生在掌握知识技能的同时,培养良好的情感态度价值观。
将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容1. 数字钟原理及组成- 介绍数字钟的基本原理,包括计时、显示等部分- 分析数字钟的各个组成部分,如时钟电路、计数器、译码器、显示器件等2. 数字钟电路设计- 学习数字钟电路的基本设计方法- 掌握常见电子元件的使用,如IC、晶体振荡器、LED等3. 数字钟程序编写- 了解编程语言及其在数字钟设计中的应用- 学习编写简单的数字钟程序,实现计时、显示等功能4. 数字钟制作与调试- 制定制作数字钟的步骤,明确各阶段任务- 学习使用工具和仪器,进行数字钟的搭建和调试5. 数字钟应用与拓展- 探讨数字钟在日常生活和科技领域的应用- 激发学生兴趣,引导他们进行数字钟的拓展创新教学内容安排与进度:第一课时:数字钟原理及组成第二课时:数字钟电路设计第三课时:数字钟程序编写第四课时:数字钟制作与调试第五课时:数字钟应用与拓展教材章节及内容:第一章:电子技术基础1.1 数字电路概述1.2 常见电子元件介绍第二章:数字钟设计与制作2.1 数字钟原理2.2 数字钟电路设计2.3 数字钟程序编写2.4 数字钟制作与调试第三章:数字钟应用与拓展3.1 数字钟在日常生活和科技领域的应用3.2 数字钟的拓展与创新三、教学方法1. 讲授法:- 在数字钟原理及组成、数字钟电路设计等内容的教学中,采用讲授法,为学生讲解基本概念、原理和方法。
数字逻辑课程设计报告
数字逻辑课程设计报告数字逻辑课程设计多功能数字钟班级:学号:课程设计人:指导老师:课题:完成时间:一、设计目的:学会应用数字系统设计方法进行电路设计,熟练地运用汇编语言。
二、设计任务及要求:1.记时、记分、记秒2.校时、校分、秒清03.整点报时4.时间正常显示5.闹时功能三、设计思路:将整个闹钟分为以下几个模块,每个模块中都有详细的各部分的设计思路,源代码及仿真图像,生成的器件。
1.计时模块计小时:24进制计数器计分、计秒:60进制计数器计时间过程:计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。
计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。
计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。
二十四进制计数器代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt24 isport (clk:in std_logic;qh,ql:out std_logic_vector(3 downto 0));end cnt24;architecture behave of cnt24 issignal q1,q0:std_logic_vector(3 downto 0);beginprocess(clk)beginif(clk'event and clk='1')thenif(q1="0010" and q0="0011")thenq1<="0000";q0<="0000";elsif(q0="1001")thenq0<="0000";q1<=q1+'1';elseq0<=q0+'1';end if;end if;qh<=q1;ql<=q0;end behave;仿真结果:图一、cnt24仿真图像六十进制计数器代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60 isport (clk:in std_logic;clr:in std_logic;ql,qh:out std_logic_vector(3 downto 0);c:out std_logic);end cnt60;architecture cnt of cnt60 issignal q1,q0:std_logic_vector(3 downto 0);beginprocess(clk,clr)beginif(clr='1')thenq1<="0000";q0<="0000";c<='0';elseif(clk'event and clk='1')thenif(q1="0101" and q0="1001")then-----到59 q1<="0000";q0<="0000";c<='1';elsif(q1<"0101" and q0="1001")thenq0<="0000";q1<=q1+'1';c<='0';elsif(q0<"1001") thenq0<=q0+'1';end if;end if;end if;qh<=q1;ql<=q0;end cnt;仿真结果:图二、cnt60仿真图像clk qh[3..0]ql[3..0] cnt24instclkclrql[3..0]qh[3..0]c cnt60inst1图三、生成的计数器符号2.校时模块:思路:按下校时键,时位迅速递增,满23清0按下校分键,分位迅速递增,满59清0注意:此时应屏蔽分进位。
数字钟课程设计实验报告
数字钟课程设计实验报告
实验名称:数字钟课程设计实验
实验目的:设计并制作一款数字钟,学习数字电路的基本构成及工作原理,并深入掌握Verilog 语言的设计和仿真技术。
实验原理:数字钟由时钟电路、驱动电路、显示电路三部分组成。
时钟电路以晶体振荡器为基础,产生高精度的基准时钟信号;驱动电路通过将时钟信号分频、选择和转换,来控制数字显示管的亮灭和数字显示内容;显示电路则将数字经过解码、整合,转换成人类可以识别的时间。
实验步骤:
1. 选择合适的FPGA芯片和数字显示管,根据其接口特点,确定各部分电路的 Pin Assignment。
2. 采用Verilog语言,编写时钟电路模块,实现一个基于晶体振荡器的高精度时钟信号。
3. 编写驱动电路模块,根据时钟信号,实现数字显示管LED的闪烁。
4. 编写显示电路模块,把数码管的8个数字位置写入代码中,并将显示电路模块与时钟电路模块和驱动电路模块相连接。
5. 通过FPGA仿真,进行数字钟模块的验证和测试。
6. 将程序下载到FPGA芯片中,并进行实际调试测试。
实验结果:
本实验设计的数字钟可以按照设定时间进行准确的数字显示,且易于调整时钟的显示时间。
数字钟在 FPGS 上实现良好,实验结果满意。
实验总结:
通过本实验,学习了数字电路的基本构成及工作原理,并深入掌握了Verilog语言的设计和仿真技术。
对于数字电路的实际应用,我有了更加深入的认识。
同时,对于FPGA的开发和调试过程也有了初步的了解,掌握了相关的基本操作和流程。
《数字逻辑》数字时钟课程设计报告
《数字逻辑》课程设计报告题目数字时钟学院(部)信息工程学院专业计算机科学与技术班级计算机一班学生姓名学号201324026 月29 日至7 月 3 日共1 周指导教师(签字)题目一.摘要:钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。
诸如定时自动报有这些,都是以钟表数字化为基础的。
因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。
所现实的意义。
本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。
二.关键词:校时计时报时分频石英晶体振荡器三.技术要求:1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能;2、有计时功能,时钟不会在计时的时候停下。
计时范围是0~99秒;3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟;4、要在七段显示器(共阴极6片)显示时间;5、电子钟要准确正常地工作。
四、方案论证与选择:钟表的是长期使用的器件,误差容易积累由此增大。
所以要求分频器产生的秒脉冲要极其准确。
而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。
秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。
由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。
由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。
在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。
石英晶体我们选择的是振荡频率为2ⁿ(我们找到的最小振荡频率为n=15),与四个74LS161组成的计数器来分频,使振荡频率变为1HZ,这样秒脉冲就产生了。
数字逻辑数字时钟课程设计报告
4.2 EWB24 小时计时数字钟基本功能仿真结果 ....................................... 14 4.3EWB 电子钟整体仿真结果 ..................................................... 15 结束语 ........................................................................... 16
选用 EWB 软件,以计算机作为载体。通过使用 EWB 软件,设计实现一个 24 小
第二章
设计总体方案
8
4 计算机学院数字系统课程设计
2.1 总体方案框图
图 2.1 总体方案框图
2.2 设计原理
由 555 定时器构成的振荡器产生稳定的 1Hz 的脉冲信号,作为标准秒脉冲。秒计数
器计 60 后向分计数器进位,分计数器计满 60 后向小时进位,小时计数器设置成 24 进 制计数器,满 24 后清零,重新开始计时。计数器的输出直接送到 LED 显示器。计时出 现误差时可以用校时电路进行校时,校分,校时电路是由一开关接到一个高电位上,当 全按一下开关就传来一个高位脉冲,计数器加一。
2.1 总体方案框图 .............................................................. 4 2.2 设计原理 .................................................................. 4 2.3 元器件的选择及功能分析 ..................................................... 5 2.3.1 选择器件 ............................................................ 5 2.3.2 555 定时器的应用 ..................................................... 5 2.3.3 74290 的应用 ......................................................... 7 2.3.4 与门 ................................................................. 8 第三章 功能模块 ................................................................... 9 3.1 单元电路的设计 ............................................................ 9 3.1.1 秒脉冲发生器......................................................... 9 3.1.2 时间技术单元 ........................................................ 10 3.2 总体设计电路图 ............................................................ 12 第四章 EWB24 小时计时数字钟仿真结果 .............................................. 13
课程设计_数字电子钟设计报告
课程设计_数字电子钟设计报告第一篇:课程设计_数字电子钟设计报告数字电子钟设计报告数字电子钟设计报告目录1.实验目的 (2)2.实验题目描述和要求 (2)3.设计报告内容...........................................................................2 3.1实验名称.................................................................................2 3.2实验目的.................................................................................2 3.3实验器材及主要器件..................................................................2 3.4数字电子钟基本原理..................................................................3 3.5数字电子钟单元电路设计、参数计算和器件选择..............................3-8 3.6数字电子钟电路图.....................................................................9 3.7数字电子钟的组装与调试............................................................9 4.实验结论.................................................................................9 5.实验心得 (10)参考文献 (10)数字电子钟设计报告一简述数字电子钟是一种用数字显示秒,分,时,日的计时装置,与传统的机械相比,它具有走时准确,显示直观,无机械传动装置等优点,因而得到了广泛的应用:小到人们日常生活中的电子手表,大到车站,码头,机场等公共场所的大型数显电子钟。
数字钟课程设计报告模板
数字钟课程设计报告模板一、教学目标本课程的教学目标是让学生掌握数字钟的工作原理、设计和制作方法。
知识目标包括了解数字钟的组成部分、工作原理和相关电路知识;技能目标包括学会使用数字电路设计软件进行数字钟的设计和仿真,并能实际制作出一个简单的数字钟;情感态度价值观目标包括培养学生的创新意识、团队合作精神和对电子技术的兴趣。
二、教学内容本课程的教学内容主要包括数字钟的工作原理、设计和制作。
首先,学生需要了解数字钟的组成部分,包括时钟芯片、显示模块、按键输入模块等,并学习相关电路知识。
然后,学生将学习如何使用数字电路设计软件进行数字钟的设计和仿真,包括时钟信号的产生、计数器的实现、显示模块的设计等。
最后,学生将实际制作出一个简单的数字钟,并进行调试和测试。
三、教学方法为了达到上述教学目标,本课程将采用多种教学方法。
首先,将采用讲授法,向学生讲解数字钟的工作原理和相关电路知识。
其次,将采用讨论法,引导学生进行思考和提问,促进学生之间的交流和合作。
此外,还将采用案例分析法,通过分析具体的数字钟设计案例,帮助学生理解和掌握设计方法和技巧。
最后,将采用实验法,让学生亲自动手制作和调试数字钟,提高学生的实践能力和解决问题的能力。
四、教学资源为了支持本课程的教学内容和教学方法的实施,将选择和准备适当的教学资源。
教材方面,将选择一本与数字钟设计和制作相关的教材,用于学生学习和参考。
参考书方面,将提供一些与数字电路设计和仿真相关的书籍,供学生进一步深入学习和研究。
多媒体资料方面,将制作一些与数字钟设计和制作相关的教学视频和演示文稿,用于课堂演示和讲解。
实验设备方面,将准备一些数字电路设计实验板和相关器件,供学生进行实验和制作数字钟。
五、教学评估本课程的评估方式包括平时表现、作业和考试三个部分。
平时表现主要评估学生在课堂上的参与程度、提问和回答问题的积极性等,占总评的20%。
作业包括课堂练习和课后作业,主要评估学生的理解和应用能力,占总评的30%。
数字时钟课程设计报告 逻辑电路
数字钟课程设计报告班级08级自动化2班姓名聂文强学号2008550221指导老师肖业伟日期2010.11.10数字钟课程设计报告一、设计目的通过设计与实践,制作出具有准确显示小时、分、秒的数字钟,且可以校时。
二、功能要求以数字形式显示时、分、秒的时间,小时计数器要求“2翻1”,并要求能手动快校时、快校分或慢校时、慢校分。
三、所需器件及其功能1、七段显示共阴数码管(6个):数码管是一种半导体发光器件,其基本单元是发光二极管。
共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。
共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。
当某一字段的阳极为低电平时,相应字段就不亮。
2、74LS48(6个):七段译码器。
74LS48引脚图3、74LS160(6个):十进制同步计数器。
其引脚图如下图所示:74LS160引脚图4、555定时器(1个):555 定时器是一种模拟和数字功能相结合的中规模集成器件。
外接几个电阻和电容可以形成多谐振动器,可以产生脉冲。
5、74LS00(2个):四2输入与非门。
74LS00引脚图6、74LS04(1个):六反向器。
74LS04引脚图7、74LS20(1个):双四输入与非门。
74LS20引脚图8、10uF电容(2个),10nF电容(2个)9、3.3KO电阻(2个),3KO电阻(1个),68KO电阻(1个),2KO可调电阻(1个)10、导线若干11、电路板(1块)需要用到的工具:剥线钳,电烙铁,烙铁架,焊锡,万用表,示波器,电源,镊子。
四、设计步骤1. 数字电子计时器组成原理数字电子计时器的结构框图如下图所示:2、用74LS160实现12进制计数器用整体置零法构成的12进制计数器3、校时电路当接通电源或时钟走时出现误差时,都需要进行时间的校准。
校时是数字钟应用的基本功能,一般电子钟都有时、分、秒校时的功能。
数字逻辑电路课程设计__数字钟
《数字逻辑》课程设计实验报告书题目:数字钟姓名:专业:班级:学号:指导教师:目录一、设计任务要求 (3)二、设计思想及说明 (4)三、设计和实现过程 (4)四、经验、体会总结 (12)五、参考文献 (13)一、设计任务与要求设计任务:设计一个具有整点报时功能的数字钟要求:1、设计一个有“时”、“分”、“秒”(11小时59分59秒)显示且有校时功能的数字钟。
2、有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。
3、计时过程具有整点报时功能,当时间到达整点前10秒进行报时。
4、用中小规模集成电路组成数字钟,并在实验箱上进行组装、调试。
5、画出框图和逻辑电路图。
功能:1、计时功能:要求准确计时,以数字形式显示时、分、秒的时间。
小时的计时要求为“12翻1”。
2、校时功能:当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。
校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。
为使电路简单,这里只进行分和小时的校时。
对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。
校时方式有“快校时”和“慢校时”两种。
“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。
“慢校时”是用手动产生单脉冲作校时脉冲。
3、仿广播电台整点报时:每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。
二、设计思想及说明数字钟原理框图下图所示,电路一般包括以下几个部分:切换电路、时分秒计数器、校时电路、整点报时电路及星期显示电路。
其基本原理是:秒计数器按“60进制”向分计数器进位,分计数器按“60进制”向时计数器进位,小时计数器按“24进制”规律计数,计数器经译码器送到显示器。
计数出现误差可用校时电路进行校时,校分,并具有可整点报时功能。
电路组成框图:三、设计和实现过程1.各元件功能74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
江苏大学计算机学院软件工程课程设计报告书课程名称数字逻辑电路课程设计总评成绩学生姓名、学号卢江涛**********学生专业班级软件工程软件1002班指导教师姓名赵念强一.设计目的(内容及功能)1、能进行正常的时、分、秒计时,用动态扫描的方式显示,需用6个数码管。
(1)用M6M5进行24进制小时的显示。
(2)用M4M3进行60进制分的显示。
(3)用M2M1进行60进制秒的显示。
2、利用按键实现“校时”、“校分”和“秒清0”功能。
(1)SA:校时键。
按下SA键时,时计数器迅速递增,按24小时循环,并且计满23时回到00。
(2)SB:校分键。
按下SB键时,分计数器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。
(3)SC:秒清零。
按下SC时,秒计数器清零。
要求按键均不产生数字跳变,因此须对“SA”、“SB”进行消抖处理。
3、能进行整点报时。
(1)在59分50、52、54、56、58秒按500Hz频率报时;(2)在59分60秒用1KHz的频率作最后一声正点报时。
4、更高要求:能进行闹时功能(1)闹时的最小时间间隙为10分钟,闹时长度为1分钟。
闹时频率可以自己设置。
(2)按下闹时按键SD后,将一个闹时时间数存入计数器内。
时钟正常运行时,闹时时间和运行的时间进行比较,当比较结果相同时输出一个启动信号,触发闹时电路工作,输出音频信号。
二、设计要求1、按自顶向下的层次化设计方法设计。
(1)顶层图(2)消抖电路用D触发器构成,SA、SB、SC为包含抖动的输入信号,而电路的输出则是一个边沿整齐的输出信号。
(3)计时(24进制计数器),计分(60进制计数器)、计秒(60进制计数器)模块可由10进制计数器连接构成,也可用VHDL语言完成。
10进制计数器需自己设计(用VHDL语言,与所做实验74160计数器相同),不能调用系统库。
(4)其他如分频电路、提供报时控制信号、闹时电路等模块用VHDL语言实现。
数字显示采用动态扫描的方式,也可以采用VHDL编写。
以下是各类器件的底层图及vhdl描述1.十进制计数器(1)vhdl语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ls160 is port(data:in std_logic_vector(3 downto 0);clk,ld,p,t,clr:in std_logic;count:buffer std_logic_vector(3 downto 0);tc:out std_logic);end ls160;architecture behavior of ls160 isbegintc<='1'when(count="1001"and p='1'and t='1'and ld='1'and clr='1')else'0'; cale:process(clk,clr,p,t,ld)beginif(rising_edge(clk))thenif(clr='1')thenif(ld='1')thenif(p='1')thenif(t='1')thenif(count="1001")thencount<="0000";elsecount<=count+1;end if;elsecount<=count;end if;elsecount<=count;end if;elsecount<=data;end if;elsecount<="0000";end if;end if;end process cale;end behavior;器件图形2.以十进制为底层文件生成六十进制计数器(1)底层图(2)器件图形3.以十进制为底层文件生成二十四进制计数器(1)底层图(3)器件图形4.二路选择器的设计(1)vhdl语言library ieee;use ieee.std_logic_1164.all;entity mux21 isport(in0,in1,sel:in std_logic; dataout:out std_logic); end mux21;architecture rtl_mux21 of mux21 isbeginwith sel selectdataout<=in0 when'0',in1 when'1','Z'when others;end rtl_mux21;(2)器件图形5.整点报时器件的设计(要使得时钟在50,52,54,56,58,60秒的时候响起)(1)vhdl语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity alert isport(f1,f0,m1,m0:in std_logic_vector(3 downto 0);siga,sigb:out std_logic);end alert;architecture rtl_alert of alert isbeginsiga<='1'when(f1="0101" and f0="1001" and m1="0101" and (m0="0000" or m0="0010" or m0="0100" or m0="0110" or m0="1000"))else'0';sigb<='1'when(f1="0000" and f0="0000" and m1="0000" and m0="0000")else'0';end rtl_alert;(2)器件图形6.分频器的设计(1)vhdl语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity frequencydivider isport(clk:in std_logic; HZ512,HZ256,HZ64,HZ4,HZ1:out std_logic);end frequencydivider;architecture rtl_frequencydivider of frequencydivider issignal q:std_logic_vector(9 downto 0):="0000000000";beginprocess(clk)beginif(clk='1')thenq<=q+1;end if;HZ512<=q(0);HZ256<=q(1);HZ64<=q(3);HZ4<=q(7);HZ1<=q(9);end process;end rtl_frequencydivider;(2)器件图形7.动态显示器件的设计(1)vhdl语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity DTSMl isport(clk:in std_logic;s :in std_logic_vector(7 downto 0);f :in std_logic_vector(7 downto 0);m :in std_logic_vector(7 downto 0);selout:out std_logic_vector(5 downto 0);segout:out std_logic_vector(6 downto 0));end DTSMl ;architecture a of DTSMl issignal number:std_logic_vector(3 downto 0); signal sel :std_logic_vector(5 downto 0);signal seg :std_logic_vector(6 downto 0);signal q :std_logic_vector(2 downto 0);begina:process(clk)beginif(clk'event and clk='1')thenq<=q+1;end if;end process a;process(q)begincase q iswhen"000"=>sel<="000001"; when"001"=>sel<="000010"; when"010"=>sel<="000100"; when"011"=>sel<="001000"; when"100"=>sel<="010000"; when"101"=>sel<="100000";when others=>sel<="000000";end case;end process;processbeginif sel ="000001"thennumber<=m(3 downto 0); elsif sel="000010"thennumber<=m(7 downto 4); elsif sel="000100"thennumber<=f(3 downto 0); elsif sel="001000"thennumber<=f(7 downto 4); elsif sel="010000"thennumber<=s(3 downto 0); elsif sel="100000"thennumber<=s(7 downto 4); elsenumber<="1111";end if;end process;process(number)begincase number iswhen"0000"=>seg<="0111111";when"0001"=>seg<="0000110";when"0010"=>seg<="1011011";when"0011"=>seg<="1001111";when"0100"=>seg<="1100110";when"0101"=>seg<="1101101";when"0110"=>seg<="1111101";when"0111"=>seg<="0000111";when"1000"=>seg<="1111111";when"1001"=>seg<="1101111";when others=>seg<="0000000";end case;end process;selout<=sel;segout<=seg;end a;(2)器件图形8.时钟开关显示器的设计(1)vhdl语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity switch isport(s:in std_logic_vector(7 downto 0);ss:in std_logic_vector(7 downto 0);f:in std_logic_vector(7 downto 0);ff:in std_logic_vector(7 downto 0);m:in std_logic_vector(7 downto 0);Q:in std_logic;A:out std_logic_vector(7 downto 0); B:out std_logic_vector(7 downto 0);C:out std_logic_vector(7 downto 0));end switch;architecture a of switch isbeginprocess(Q,s,ss,f,ff,m)beginif(Q='1') thenA<=ss;B<=ff;C<="00000000";elseA<=s;B<=f;C<=m;end if;end process;end a;(2)器件图形9.对比器的设计(1)vhdl语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity compare is port(s,ss,f,sf:in std_logic_vector(7 downto 0); d:out std_logic;Q:in std_logic);end compare;architecture behavior of compare is beginprocess(Q,s,ss,f,sf)beginif(Q='1')thenif(s=ss and f=sf)thend<='1';else d<='0';end if;end if;end process;end behavior;(2)器件图形10.D触发器(可以直接调用)器件图形以上便是所有的底层文件的生成过程顶层文件生成图三.设计方案以上是简单的层次图四.设计过程具体语言描述首先用vhdl语言设计一个十进制的计数器,生成器件后用此器件作底层文件设计一个二十四进制的计数器和一个六十进制的计数器,二十四进制的计数器主要用来计时,六十进制的计数器主要用来计分和秒,再用vhdl语言描述设计一个整点报时器,使其在50秒,52秒,54秒,56秒,58秒,六十秒的时候进行闹铃,此期间需要用vhdl语言设计一个分频器,然后在用二十四进制和六十进制计数器设计一个输入闹钟的时间装置,用来输入闹铃,现在就产生了两个时间,一个是当前时间,一个是闹铃时间,所以就需要用vhdl语言设计一个开关,当然图形也可以,只不过vhdl设计过程比较简单而已,开关用来控制数码管显示的是闹钟时间还是当前时间,然后再设计一个对比装置,此器件作用是检验闹铃时间和当前时间是否相等,如果相等的话就响铃,由于显示需要六个数码管,而静态数码管只有四个,所以采用动态数码显示,这就需要用一个动态数码显示器件,此器件的作用是将输入转化为七段码和六个数码管,然后进行管脚锁定,编译,下载验证即可!!!五.仿真结果十进制计数器二十四进制计数器六十进制计数器六.设计中遇到的问题及解决方法1最开始编译的时候一下子出现了32个错误,经仔细检查后发现是将输入器件弄错了,用了输出器件。