实验四译码显示电路

合集下载

译码显示电路实验报告

译码显示电路实验报告

一、实验目的1. 熟悉译码显示电路的基本原理和组成;2. 掌握译码器和显示器的功能及使用方法;3. 通过实验,验证译码显示电路的工作性能;4. 培养动手实践能力和团队协作精神。

二、实验原理译码显示电路是一种将数字信号转换为可直观显示的图形或字符的电路。

它主要由译码器和显示器两部分组成。

译码器将输入的数字信号转换为对应的控制信号,显示器则根据这些控制信号显示相应的图形或字符。

1. 译码器:译码器是一种多输入、多输出的组合逻辑电路,其作用是将输入的二进制代码转换为输出的一组控制信号。

常见的译码器有二进制译码器、十进制译码器等。

2. 显示器:显示器用于显示译码器输出的控制信号。

常见的显示器有七段显示器、液晶显示器等。

本实验采用七段显示器,它由七个独立的段组成,通过控制每个段的亮与灭,可以显示0-9的数字以及其他符号。

三、实验仪器与器材1. 实验箱;2. 译码器(例如:74LS47);3. 显示器(例如:七段显示器);4. 连接线;5. 示波器(可选);6. 电源。

四、实验步骤1. 熟悉实验箱和实验器材,了解译码器和显示器的功能及使用方法。

2. 按照实验原理图连接译码器和显示器,确保连接正确无误。

3. 在译码器输入端输入二进制代码,观察显示器是否按照预期显示相应的数字或符号。

4. 调整译码器的输入代码,验证译码器的工作性能。

5. (可选)使用示波器观察译码器和显示器的信号波形,进一步分析电路工作原理。

6. 记录实验数据,撰写实验报告。

五、实验结果与分析1. 当译码器输入端输入二进制代码时,显示器按照预期显示相应的数字或符号。

2. 调整译码器的输入代码,显示器能够正确显示相应的数字或符号。

3. 通过实验,验证了译码显示电路的基本原理和组成,掌握了译码器和显示器的功能及使用方法。

4. 在实验过程中,注意观察译码器和显示器的信号波形,有助于理解电路工作原理。

六、实验总结1. 本实验成功实现了译码显示电路的基本功能,验证了译码器和显示器的工作性能。

实验四编码器,译码器,数码管(定稿)

实验四编码器,译码器,数码管(定稿)

实验四编码器、译码器、数码管一、实验目的1.掌握编码器、译码器和七段数码管的工作原理和特点。

2.熟悉常用编码器、译码器、七段数码管的逻辑功能和他们的典型应用。

3. 熟悉“数字拨码器”(即“拨码开关”)的使用。

二、实验器材1. 数字实验箱 1台2. 集成电路:74LS139、 74LS248、 74LS145、 74LS147、 74LS148 各1片74LS138 2片3. 电阻: 200Ω 14个4. 七段显示数码管:LTS—547RF 1个三、预习要求1.复习编码器、译码器和七段数码管的工作原理和设计方法。

2. 熟悉实验中所用编码器、译码器、七段数码管集成电路的管脚排列和逻辑功能。

3. 画好实验用逻辑表。

四、实验原理和电路按照逻辑功能的不同特点,常把数字电路分成两大类:一类叫做组合逻辑电路,另一类叫做时序逻辑电路。

组合逻辑电路在任何时刻其输出信号的稳态值,仅决定于该时刻各个输人端信号的取值组合。

在这种电路中,输入信号作用以前电路的状态对输出信号无影响。

通常,组合逻辑电路由门电路组成。

(一)组合逻辑电路的分析方法:a.根据逻辑图,逐级写出函数表达式。

b.进行化简:用公式法或图形法进行化简、归纳。

必要时,画出真值表分析逻辑功能。

(二)组合逻辑电路的设计方法:从给定逻辑要求出发,求出逻辑图。

一般分以下四步进行。

a.分析要求:将问题分析清楚,理清哪些是输入变量,哪些是输出函数。

进行逻辑变量定义(即定义字母A、B、C、D ……所代表的具体事物)。

b. 根据要求的输入、输出关系,列出真值表。

c. 进行化简:变量比较少时,用图形法;变量多时,可用公式法化简。

化简后,得出逻辑式。

d. 画逻辑图:按逻辑式画出逻辑图。

进行上述四步工作,设计已基本完成,但还需选择元件——数字集成电路,进行实验论证。

值得注意的是,这些步骤的顺序并不是固定不变的,实际设计时,应根据具体情况和问题难易程度进行取舍。

(三)常用组合逻辑电路:1.编码器编码器是一种常用的组合逻辑电路,用于实现编码操作。

实验四 7段数码显示译码器设计

实验四 7段数码显示译码器设计

实验四 7段数码显示译码器设计一、实验目的(1)学习7段数码显示译码器设计;(2)学习VHDL的CASE语句应用及多层次设计方法。

二、实验内容用一个4位二进制加法计数器和一段7段译码器组成电路,并用数码8显示译码输出。

三、实验条件QUARTUSII软件,GW48试验箱,计算机。

四、实验设计(1)系统的原理框图(2)VHDL源程序--四位计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4 ISPORT (CLK, CLR, ENA:IN STD_LOGIC;CQ:OUT INTEGER RANGE 0 TO 15;CO:OUT STD_LOGIC);END ENTITY CNT4;ARCHITECTURE ART OF CNT4 ISSIGNAL CQI:INTEGER RANGE 0 TO 15;BEGINPROCESS (CLK,CLR,ENA) ISBEGINIF CLR='1' THEN CQI<=0;ELSIF CLK'EVENT AND CLK='1' THENIF ENA='1' THENCQI<=CQI+1;END IF;END IF;END PROCESS;PROCESS (CLK,CQI)ISBEGINIF CLK'EVENT AND CLK='1' THENIF CQI=15 THEN CO<='1';ELSE CO<='0';END IF;END IF;END PROCESS;CQ<=CQI;END ARCHITECTURE ART;--7位译码器LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY DECL7S ISPORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) ; END ;ARCHITECTURE one OF DECL7S ISBEGINPROCESS( A )BEGINCASE A ISWHEN "0000" => LED7S <= "0111111" ;WHEN "0001" => LED7S <= "0000110" ;WHEN "0010" => LED7S <= "1011011" ;WHEN "0011" => LED7S <= "1001111" ;WHEN "0100" => LED7S <= "1100110" ;WHEN "0101" => LED7S <= "1101101" ;WHEN "0110" => LED7S <= "1111101" ;WHEN "0111" => LED7S <= "0000111" ;WHEN "1000" => LED7S <= "1111111" ;WHEN "1001" => LED7S <= "1101111" ;WHEN "1010" => LED7S <= "1110111" ;WHEN "1011" => LED7S <= "1111100" ;WHEN "1100" => LED7S <= "0111001" ;WHEN "1101" => LED7S <= "1011110" ;WHEN "1110" => LED7S <= "1111001" ;WHEN "1111" => LED7S <= "1110001" ;WHEN OTHERS => NULL ;END CASE ;END PROCESS ;END ;--顶层文件LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY LED16 ISPORT(CLOCK0, RST0,ENA0: IN STD_LOGIC;LED: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);OUT0: OUT STD_LOGIC);END ENTITY LED16;ARCHITECTURE ART1 OF LED16 ISCOMPONENT CNT4 ISPORT (CLK, CLR, ENA:IN STD_LOGIC;CQ:OUT INTEGER RANGE 0 TO 15;CO:OUT STD_LOGIC);END COMPONENT;COMPONENT DECL7S ISPORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) ;END COMPONENT;SIGNAL TMP : INTEGER RANGE 0 TO 15;BEGINU1:CNT4 PORT MAP(CLOCK0,RST0,ENA0,TMP,OUT0);U2:DECL7S PORT MAP(CONV_STD_LOGIC_VECTOR(TMP,4),LED);END ARCHITECTURE ART1;(3)管脚锁定五、实验结果及总结(1)系统仿真情况(2)硬件验证情况每按动两下键8,数码管显示的数字就会增加1,当显示F时,再按两下数码管变为0,LED8变亮,再按两下后变灭。

显示电路的设计与实现

显示电路的设计与实现

实验四显示电路设计与实现一.实验目的1.掌握中规模集成电路译码器74LS47,74LS48,CD4511的功能及应用。

2.掌握LED共阴,共阳数码管的及显示电路的工作原理及应用。

二.实验仪器及设备1.数字逻辑实验箱2.万用表1只3.元器件:显示译码器芯片74LS47(共阳)、78LS48、74LS248、CD4511(共阴).(74LS90, 74LS49 ,74LS249,74ls48,)2块;共阴(共阳)型LED数码管2块;电阻,导线若干三. 实验原理(一)数码的显示方式数码的显示方式一般有三种:第一种是字型重叠式;第二种是分段式;第三种是点阵式.目前以分段式应用最为普遍,主要器件是七段发光二极管(LED)显示器。

它可分为两种,一是共阳极显示器(发光二极管的阳极都接在一个公共点上),另一是共阴极显示器(发光二极管的阳极都接在一个公共点上,使用时公共点接地)。

下图5—2(a)、5-2(b)分别是共阴管和共阳管的电路,5-3(a)、5-3(b)分别是共阴管和共阳管的引出脚功能图。

4—1(a)4-1(b)4—2 (a)4-2(b)一个LED数码管可用来显示一位0~9十进制数和一个小数点。

小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2。

5V,每个发光二极管的点亮电流在5~10mA。

(二)译码驱动器LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要有译码功能,还要有相当的驱动能力。

常用的显示译码器芯片如:74LS47(共阳)、78LS48、74LS248、CD4511(共阴)。

1.74LS48共阴极译码驱动器它的引脚排列为:图4—3 74LS48的引脚排列它的功能表为:表4—1 74LS48的功能表输入输出D C B A a b c d e f g××××××0(输入) 0 0 0 0 0 0 00 ××××× 1 1 1 1 1 1 1 1 81 0 0 0 0 0 0 0 0 0 0 0 0 00 1 1 0 0 0 0 1 1 1 1 1 1 1 0 01 1 ×0 0 0 1 1 0 1 1 0 0 0 0 12 1 ×0 0 1 0 1 1 1 0 1 1 0 1 23 1 ×0 0 1 1 1 1 1 1 1 0 0 1 34 1 ×0 1 0 0 1 0 1 1 0 0 1 1 45 1 ×0 1 0 1 1 1 0 1 1 0 1 1 56 1 ×0 1 1 0 1 0 0 1 1 1 1 1 67 1 ×0 1 1 1 1 1 1 1 0 0 0 0 78 1 × 1 0 0 0 1 1 1 1 1 1 1 1 89 1 × 1 0 0 1 1 1 1 1 1 0 1 1 910 1 × 1 0 1 0 1 0 0 0 1 1 0 111 1 × 1 0 1 1 1 0 0 1 1 0 0 112 1 × 1 1 0 0 1 0 1 0 0 0 1 113 1 × 1 1 0 1 1 1 0 0 1 0 1 114 1 × 1 1 1 0 1 0 0 0 1 1 1 115 1 × 1 1 1 1 1 0 0 0 0 0 0 02.74LS47共阳极译码驱动器它的引脚排列与74LS48的引脚排列一模一样,两者的功能也差不多。

译码电路

译码电路

实验四译码显示电路
学院:信息科学与技术学院
专业:电子信息工程
一实验目的
1.掌握发光二级管,数码管工作原理,结构,使用方法;
2.掌握集成译码显示电路。

二实验器材
74LS247,BS201数码管(共阳),CD4008B,74LS00。

三实验原理
数字系统中的测量,运算结果需十进制显示,常用发光二极管,LED,LCD
1.发光二极管导通电压1.6V左右,20MA可以很亮,响应快;
2.数码管有共阴,共阳两种,发光方式与二极管相同;
3.液晶显示器是根据内部离子电离状态使光纤线发生变化而显示的,响应慢;
4.74LS247将四位二进制数转化为数码管对应发光段而工作的。

四实验内容
1.解:运用灯泡和数码管来显示电路:
灯亮则对应数码管中对应发光二极管亮。

测试功能图为:
(1)当输入1时,数码管显示1,且对应灯泡B,C亮;
(2)当输入9时,数码管显示9,且对应灯泡A,B,C,F,G亮。

2.解:利用74LS247,BS201,CD4008B设计出的BCD码显示,当输入数字小于10时,对应十位数码管灭零;当输入数字大于等于10(小于等于15),不灭零。

(1)当输入8时,十位灭零,个位显示8;
(3)显示30.5的电路:
3.
解:
四按钮,如显示4号病房:
4.
解:74ls138功能测试。

实验四 七段数码管显示实验报告

实验四 七段数码管显示实验报告

实验四七段数码管显示实验一、实验目的掌握数码管显示数字的原理。

二、实验内容1.静态显示:数码管为共阴极,通过BCD码译码驱动器CD4511驱动,其输入端A~D输入4位BCD码,位码输入低电平选中。

按图4-1连接好电路,将8255的A口PA0~PA3与七段数码管LED1的BCD码驱动输入端A1~D1相连,8255的A口PA4~PA7与七段数码管LED2的BCD码驱动输入端A2~D2相连,8255的B口PB0~PB3与七段数码管LED3的BCD码驱动输入端A3~D3相连,8255的B口PB4~PB7与七段数码管LED4的BCD码驱动输入端A4~D4相连,8255的C口PC0~PC3分别与七段数码管LED4~LED4的位驱动输入端DG1~DG4相连。

编程从键盘上每输入4个0~9数字,在七段数码管LED4~LED4上依次显示出来。

图4-12.动态显示:数码管为共阴极,段码采用相同驱动,输入端加高电平,选中的数码管对应段点亮,位码采用同相驱动,位码输入端低电平选中,按图4-2连接好电路,图中只画了2个数码管,实际是8个数码管,将8255的A口PA0~PA7分别与七段数码管的段码驱动输入端a~g相连(32TCI0模块上的J1连32LED8模块J2),8255的C口的PC0~PC7接七段数码管的段码驱动输入(32TCI0模块上的J3连32LED8模块J1),跳线器K1连2和3。

编程在8个数码管上显示“12345678”。

按任意键推出运行。

图4-2三、编程提示1.由于DVCC卡使用PCI总线,所以分配的IO地址每台微机可能都不用,编程时需要了解当前的微机使用那段IO地址并进行处理。

2.对实验内容1,七段数码管字型代码与输入的关系如下表:四、参考流程图1.实验内容一的参考流程图图4-3 2.实验内容二的参考流程图图4-4五、参考程序1.内容一的参考程序源程序清单如下:data segmentioport equ 0c400h-0280hio8255a equ ioport+288hio8255b equ ioport+289hio8255c equ ioport+28ahio8255k equ ioport+28bhled db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fhmesg1 db 0dh,0ah,'Input a num (0--9),other key is exit:',0dh,0ah,'$'bz db ?cz db 04hdata endscode segmentassume cs:code,ds:datastart: mov ax,datamov ds,axmov dx,io8255k ;使8255的A口为输出方式mov ax,80hout dx,alsss0: mov si,offset bzmov cx,04hsss1: mov dx,offset mesg1 ;显示提示信息mov ah,09hint 21hmov ah,01 ;从键盘接收字符int 21hcmp al,'0' ;是否小于0jl exit ;若是则退出cmp al,'9' ;是否大于9jg exit ;若是则退出sub al,30h ;将所得字符的ASCII码减30Hmov [si],al ;存入显示缓冲区inc si ;显示缓冲区指针加1dec cx ;判断输入满4个数字吗?jnz sss1 ;不满继续mov si,offset bz ;从显示缓冲区取第一个数字的BCD 码mov al,[si]and al,0fh ;屏蔽高四位暂存ALinc si ;显示缓冲区指针加1mov ah,[si] ;取第二个数字的BCD码到AHsal ah,4h ;右移4次到高四位add al,ah ;两个BCD码合并成一个字节mov bl,al ;暂存入BLinc simov al,[si] ;取第三个数字的BCD码and al,0fhinc simov ah,[si] ;取第四个数字的BCD码到AHsal ah,4hadd ah,almov al,ahmov dx,io8255a ;从8255的A口输出(后两个数字)out dx,almov al,blmov dx,io8255b ;从8255的B口输出(前两个数字)out dx,almov al,0f0hmov dx,io8255c ;从8255的C口输出位码out dx,almov dl,0ffhmov ah,06int 21hje sss0 ;有键按下则退出exit: mov ah,4ch ;返回int 21hcode endsend start2.内容二的参考程序源程序清单如下:data segmentioport equ 0C400h-0280hio8255c equ ioport+28ahio8255k equ ioport+28bhio8255a equ ioport+288hled db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh ;段码buffer1 db 01h,02h,03h,04h,05h,06h,07h,08h ;存放要显示的十位和个位con db ? ;位码data endscode segmentassume cs:code, ds:datastart: mov ax,datamov ds,axmov dx,io8255k ;将8255设为A口C口输出mov al,80hout dx,alloop2: mov al,08h ;设置数码管位计数器初值到CON mov byte ptr con,almov si,offset buffer1 ;置显示缓冲器指针SImov ah,7fh ;置位码初值disp0: mov cx,0ffffhmov bl,ds:[si] ;取显示缓冲区显示值存BXmov bh,0hpush simov dx,io8255c ;位码从C口输出mov al,ahout dx,almov dx,io8255amov si,offset led ;置led数码表偏移地址为SIadd si,bx ;求出对应的led数码mov al,byte ptr [si]out dx,al ;段码从A口输出disp1: loop disp1 ;延时mov cx,0ffffhdisp2: loop disp2ror ah,01h ;位码右移1位pop siinc si ;显示缓冲区指针加1mov al,byte ptr condec almov byte ptr con,aljnz disp0 ;数码管位计数器减1为0吗?,不为0继续mov dx,io8255a ;为0,关数码管显示mov al,0out dx,almov dl,0ffhmov ah,06int 21hje loop2 ;有键按下则退出mov ah,4ch ;返回int 21hcode endsend start实验总结:通过这次试验,我了解到自定义数据类型可以根据自己的需要方便设定,有很大的灵活性。

实验四--Δm及CVSD编译码实验

实验四--Δm及CVSD编译码实验

实验四--Δm及CVSD编译码实验实验四Δm及CVSD编译码实验一、实验目的1、掌握简单增量调制的工作原理。

2、理解量化噪声及过载量化噪声的定义,掌握其测试方法。

3、了解简单增量调制与CVSD工作原理不同之处及性能上的差别。

二、实验器材1、主控&信号源模块、21号、3号模块各一块2、双踪示波器一台3、连接线若干三、实验原理1、Δm编译码(1)实验原理框图信号源music/A-outCLK抗混叠滤波器LPFLPF-IN LPF-OUTΔm 编码编码输入门限判决时钟Δm译码时钟译码输入译码输出3# 信源编译码模块比较量化延时极性变换量阶编码输出延时本地译码音频输入图一Δm编译码框图(2)实验框图说明编码输入信号与本地译码的信号相比较,如果大于本地译码信号则输出正的量阶信号,如果小于本地译码则输出负的量阶。

然后,量阶会对本地译码的信号进行调整,也就是编码部分“+”运算。

编码输出是将正量阶变为1,负量阶变为0。

Δm译码的过程实际上就是编码的本地译码的过程。

2、CVSD编译码(1)实验原理框图信号源music/A-outCLK抗混叠滤波器LPFLPF-IN LPF-OUTΔm 编码编码输入门限判决时钟Δm译码时钟译码输入译码输出比较延时极性变换量阶调整编码输出延时本地译码量阶调整一致脉冲量阶3# 信源编译码模块音频输入图二 CVSD编译码框图(2)实验框图说明与Δm相比,CVSD多了量阶调整的过程。

而量阶是根据一致脉冲进行调整的。

一致性脉冲是指比较结果连续三个相同就会给出一个脉冲信号,这个脉冲信号就是一致脉冲。

其他的编译码过程均与Δm一样。

四、实验步骤项目一:△M编码规则实验项目二:量化噪声观测项目三:不同量阶△M编译码的性能项目四:△M编译码语音传输系统项目五:CVSD量阶观测项目六:CVSD一致脉冲观测项目七;CVSD量化噪声观测项目八:CVSD码语音传输系统五、实验记录TP4(信源延时)和TH14(编码输出)TP4(信源延时)和TP3(本地译码)项目二CH1信源延时,CH2 本地译码项目三量阶3000,Vpp=3V项目三量阶6000,Vpp=3V 项目三量阶3000,Vpp=1V项目五量阶6000,Vpp=1V 项目五 Vout=1V项目五 Vout=2V项目五 Vout=4V项目七 Vpp=1V 项目七 Vpp=3VCVSD量化噪声观测(2KHz)Vpp=3V的噪声CVSD量化噪声观测(2KHz)Vpp=1V的噪声六、思考题回答1.增量调制的速率可以是32kbps、16kbps相比PCM 64kbps产生的原因怎样?(请查找资料)今天VoIP采用什么样的信源编码?视频的MPEG2编码又是什么?答:PCM的速率是增量调制的整数倍,利用此特点,可进行信道的复用,扩大信息量的传输。

译码显示电路实验报告

译码显示电路实验报告

实验四译码显示电路一、实验目的1. 掌握中规模集成译码器的逻辑功能和使用方法2. 熟悉数码管的使用二、实验仪器及器件1.器件:74LS48, 74LS194 , 74LS73,74LS00 ,74LS197, 74LS153, 74LS138,CLOCK,MPX4-CC-BULE, MPX8-CC-BULE, 及相关逻辑门三、实验预习1. 复习有关译码显示原理。

2. 根据实验任务,画出所需的实验线路及记录表格。

四、实验原理1. 数码显示译码器(1)七段发光二极管(LED)数码管LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。

(注:实验室实验箱上数码管为共阴四位数码管)一个LED数码管可用来显示一位0~9十进制数和一个小数点。

小型数码管(寸和寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~,每个发光二极管的点亮电流在5~10mA。

LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(a) 共阴连接(“1”电平驱动) (b) 共阳连接(“0”电平驱动)(c) 符号及引脚功能图(一)LED数码管(2)BCD码七段译码驱动器此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD码锁存/七段译码/驱动器。

驱动共阴极LED数码管。

图(二)为74LS48引脚排列。

其中A0、A1、A2、A3—BCD码输入端a、b、c、d、e、f、g—译码输出端,输出“1”有效,用来驱动共阴极LED数码管。

LT—灯测试输入端,LT=“0”时,译码输出全为“1”BIR=“0”时,不显示多余的零。

R—灭零输入端,BIBI—作为输入使用时,灭灯输入控制端;作为输出端使用时,灭零输出RBO/端。

数电实验四 译码器及其应用

数电实验四 译码器及其应用

实验四译码器及其应用一、实验目的1.掌握中规模集成译码器的逻辑功能和使用方法。

2.掌握组合译码器的应用。

3.熟悉掌握集成译码器的扩展方法。

二、实验设备1.数字电路试验箱2.数字万用表3.74LS138、74LS20三、实验原理译码器是一个多输入多输出的组合电路,它的作用是将输入的具有特定含义的二进制代码翻译成输出信号的不同组合,实验电路的逻辑控制功能。

译码器在数字系统中应用广泛,可用于代码转换、终端数字的显示、数据的分配、存储器寻址和组合控制信号等。

本实验主要讨论3—8线变量译码器74LS38,它有三根输入线,可以输入三位二进制数码,共有八种状态组合,即可译出8个输出信号。

下图分别为管脚图和功能图。

该集成芯片共有16个引脚,其中8脚应接地线,16脚接+5V电源,脚,,为二进制编码输入端(为高位,为低位);—为译码器输出端(为高位,为低位),,,为信号输入允许端,也称使能端。

,为低电平有效,为高电平有效。

只有信号输入允许端有效时输入的信号才有效,才能实现译码。

74LS138的功能表如下表所示。

74LS138引脚图 74LS138逻辑符号74LS138功能表四、实验内容1.测试74LS138的逻辑功能;2.设计电路,用74LS138,74LS20实现函数:Y=*+*+ABC3.用两片74LS138构成一个4—16线译码器。

四、实验过程1.设计电路,实现函数Y=*+*+ABC (1)列出的真值表(2)函数的实现Y = +++ = (3)逻辑电路设计AB5v2.用两片74LS138构成一个4—16线译码器逻辑电路设计如下:。

编码、译码显示电路的设计与安装实验报告

编码、译码显示电路的设计与安装实验报告

《编码、译码显示电路设计与安装》实验报告姓名欧阳志刚学号20101138班级通信101专业通信技术指导教师林梅实验时间第8周电子信息工程系2011-2012学年第一学期实验目的及原理:1.了解编码译码器的功能和特点。

2.掌握编码译码器的工作原理。

3.掌握集成编码译码器的逻辑功能。

4.掌握集成编码译码器的级联方法。

实验一 编码器一、实验目的和任务:⑴验证编码器的逻辑功能。

(2)掌握中规模集成电路构成组合逻辑电路的方法。

二、实验设备与器材:TTL 集成编码器芯片74LS148等74LS148编码器I0~I7是8个输入端,Y1~Y3是3个输出端,EI 是使能输入端,EO 是使能输出端,GS 是优先标志输出端。

按下表逐项测试74LS148的逻辑功能。

74LS148管脚排列图:14131210161534567128911V CC GND74LS1484I 5I 6I 7I I E 2Y 1Y 0Y 0I 1I 3I 2I SG O E 4I 5I 6I 7I IE 2Y 1Y 0Y 0I 1I 2I 3I S G O E74LS148的功能表:输入输出S ’’I0”I1’’I2’’I3’’I4’’I5’’I6’’I7’’Y0" Y1" Y2" Ys’’Y EX’’1 X X X X X X X X 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 0 X X X X X X X 0 0 0 0 1 0 0 X X X X X X 0 1 0 0 1 1 0 0 X X X X X 0 1 1 0 1 0 1 0 0 X X X X 0 1 1 1 0 1 1 1 00 X X X 0 1 1 1 1 1 0 0 1 0 0 X X 0 1 1 1 1 1 1 0 1 1 0 0 X 1 1 1 1 1 1 1 1 1 1 1 0 0 0 1 1 1 1 1 1 1 1 1 1 1 0三、实验步骤及内容:(1)74LS148编码器I0~I7是8个输入端,Y1~Y3是3个输出端,EI 是使能输入端,EO是使能输出端,GS是优先标志输出端。

(Multisim数电仿真)计数、译码和显示电路

(Multisim数电仿真)计数、译码和显示电路

(Multisim数电仿真)计数、译码和显⽰电路实验3.11 计数、译码和显⽰电路⼀、实验⽬的:1. 掌握⼆进制加减计数器的⼯作原理。

2. 熟悉中规模集成计数器及译码驱动器的逻辑功能和使⽤⽅法。

⼆、实验准备:1.计数:计数是⼀种最简单、最基本的逻辑运算,计数器的种类繁多,如按计数器中另外⼀种可预计的⼗进制加减可逆计数器CD4510,⽤途也⾮常⼴,其引脚排列如图3.11.3所⽰,其中,E P 为预计计数使能端,in C 为进位输⼊端,1P ~4P 为预计的输⼊端,out C 为进位输出端,U /D 为加减控制端,R 为复位端,CD4510输⼊、输出间的逻辑功能如表3.11.2所⽰。

表3.11.2:。

2. 译码与显⽰:⼗进制计数器的输出经译码后驱动数码管,可以显⽰0~9⼗个数字,CD4511是BCD~7段译码驱动集成电路,其引脚排列如图3.11.4所⽰。

LT 为试灯输⼊,BI 为消隐输⼊,LE 为锁定允许输⼊,A 、B 、C、D为BCD码输⼊,a~g为七段译码。

CD4511的逻辑功能如表3.11.3所⽰。

LED数码管是常⽤的数字显⽰器,分共阴和共阳两种,BS112201是共阴的磷化镓数码管,其外形和内部结构如图3.11.5所⽰。

图3.11.5三、计算机仿真实验内容:1. 计数10的电路:(1).单击电⼦仿真软件Multisim7基本界⾯左侧左列真实元件⼯具条“CMOS”按钮,从弹出的对话框“Family”栏中选“CMOS_10V”,再在“Component”栏中选取4093BD和4017BD各⼀只,如图3.11.6所⽰,将它们放置在电⼦平台上。

图3.11.6(2).单击电⼦仿真软件Multisim7基本界⾯左侧左列真实元件⼯具条“Source”按钮,从弹出的对话框“Family”栏中选“POWER_SOURCES”,再在“Component”栏中选取“VDD”和地线,将它们调出放置在电⼦平台上。

(3). 双击“VDD”图标,将弹出如图3.11.7所⽰对话框,将“V oltage”栏改成“10”V,再点击下⽅“确定”按钮退出。

实验四_BCD码的显示_李鑫_20103277

实验四_BCD码的显示_李鑫_20103277

上海电力学院实验报告实验课程名称:现代数字系统设计实验项目名称:BCD码显示及运算班级:2010251姓名:李鑫学号: ********成绩:______ 实验时间:2012年11月8日1.二进制码到BCD码的转换二进制码与BCD码之间的转换关系见下表:表中将4位二进制输入V=v3v2v1v0转换成2位十进制D=d1d0,实现办法是用SW[3..0]作为二进制输入,而用HEX1和HEX0作为十进制输出的显示。

从上述表中可以看出,当V<=9时,d1=0、d0=V;反之,d1=1、d0=V-10。

实验步骤如下:第1步:新建一个Quartus项目。

第2步:建立一个VHDL文件,根据上述工作原理编写代码以实现所要求的电路,文件另存为bin_bcd.vhd。

由于程序中用到了二进制码与十进制数之间的比较,所以需要添加一个程序包如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;第3步:完成代码转换之后,需要将BCD码在数码管上显示,所以需要在项目中添加实验3中完成的num_7seg.vhd文件。

第4步:采用图形编辑方法或元件调用方法都可以完成最终的电路功能。

第5步:编译并下载验证。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bin_bcd isport(SW:in std_logic_vector(3 downto 0); HEX1,HEX0:out std_logic_vector(6 downto 0)); end bin_bcd;architecture BCD of bin_bcd isbeginwith SW(3 downto 0)selectHEX1<="1000000" when "0000","1000000" when "0001","1000000" when "0010","1000000" when "0011","1000000" when "0100","1000000" when "0101","1000000" when "0110","1000000" when "0111","1000000" when "1000","1000000" when "1001","1111001" when others;with SW(3 downto 0)selectHEX0<="1000000" when "0000","1111001" when "0001","0100100" when "0010","0110000" when "0011","0011000" when "0100","0010010" when "0101","0000010" when "0110","1111000" when "0111","0000000" when "1000","0010000" when "1001","1000000" when "1010","1111001" when "1011","0100100" when "1100","0110000" when "1101","0011000" when "1110","0010010" when others;end BCD ;2.1位BCD加法器电路原理是输入两个BCD码A和B以及1位进位输入cin,输出是BCD码的和sum以及1位进位输出cout。

09级数电实验四

09级数电实验四

实验四、计数、译码、显示电路实验一、实验目的1. 熟悉和测试74LS90、CD4511-BCD 七段译码器等组件的逻辑功能。

2. 运用中规模集成电路组成计数、译码、显示电路。

二、实验仪器1. 双踪示波器 1台2. 万用表 1只3. 74LS90 1片4. CD4511-BCD 七段译码器 1片5. 共阴极七段数码管 1片三、组件介绍1、74LS90 Decade and Binary Counters 十进制、二进制计数器图4-1 74LS90芯片引脚图上表为LS90 8421BCD 计数时序表 注:此时输入端B 必须连接到输出端QA 上才能进行8421BCD 计数,输入端A 连时钟信号。

此时,QD 输出的是对时钟信号的十分频信号,QA 为二分频。

Note: H = High Level; L = Low Level; X = Don’t Care. 上表为LS90 5421BCD 计数时序表 注:此时输入端A 必须连接到输出端QD 上才能进行5421BCD 计数,输入端B 连时钟信号。

此时,QD 输出的是对时钟信号的五分频的信,QA 为十分频。

表4-1 74LS90真值表2、七段数码管LED数码管分为共阴极和共阳极两种。

共阴极数码管,公共端com应接低电平,需哪一段亮,将该段对应的引脚接高电平即可。

而共阳极数码管,公共端com应接高电平,需哪一段亮,将该段对应的引脚接低电平即可。

3、CD4511 BCD七段译码器/驱动器表4-2 CD4511 BCD七段译码器/驱动器真值表注:输入端DCBA为8421BCD码,输出端a-g为7位二进制代码,对应LED数码管上的各段。

四、预习要求1. 熟悉74LS90、CD4511-BCD 七段译码器、共阴极七段数码管等组件的逻辑功能。

2. 根据实验内容,画出实验原理图。

3. 拟定实验步骤,写出预习报告。

五、实验内容1. 用74LS90验证十进制计数器的功能。

实验四_计数译码显示

实验四_计数译码显示

实验四 计数、译码、显示综合实验一、实验目的1、熟悉计数、译码、显示电路的工作原理及电路结构;2、了解计数器、译码器和显示器的逻辑功能;3、运用计数器、译码器和显示集成组件进行计数显示。

二、实验原理该实验电路由计数、译码、显示三部分构成。

计数单元是集成电路74LS192,它的引脚排列如图1。

74LS192是由四组触发器按8421BCD 码形式构成的十进制计数器,它具有双时钟输入,可进行加法和减法计数。

此外,还具有异步清零、异步置数和状态保持的功能。

它的功能真值表如表1所示。

译码电路采用集成电路74LS248,它是七段LED 字符显示译码器,其引脚排列如图2所示,输入的BCD 码由A 0、A 1、A 2、A 3输入,然后按字形规则译码后从Y 输出,输出端Y a 、Y b …..Y g 对CR VCC D 0D 1D 2D 3Q 0Q 2Q 1Q 3GNDCP D CP U BO CO LD图1. 74LS192引脚图表1. 74LS192功能表应于图3所示数码字形的a 、b 、……g 段。

本实验选用的显示器为共阴极型七段LED 显示器,七段中的每一段(取名为a 、b 、c 、d 、e 、f 、g )均是一个发光二极管,当显示某一数字,例如显示“4”时,输入端f 、g 、b 、c 必须是高电平使相应字段发光。

74LS248的输入BCD 码与输出译码之间的对应关系如表2所示。

74LS192、74LS248及数码管相应端口的连接关系如图4所示。

在计数状态下,74LS192的输出端Q 3、Q 2、Q 1、Q 0有相应的计数输出传送到译码器74LS248的输入端,经74LS248译码后的输出传送到数码管的对应输入,即可显示输入的计数脉冲数。

图2. 74LS248引脚图图3. 数码管表2. 74LS248的输入BCD 码与输出译码之间的对应关系图4. 74LS192、74LS248及数码管相应端口的连接关系三、实验内容及实验报告要求1、首先根据图4在实验板上将74LS192、74LS248及数码管的相应端口连接好。

数电实验实验报告四译码器和数据选择器

数电实验实验报告四译码器和数据选择器

数电实验实验报告四译码器和数据选择器引言:本实验旨在了解和掌握四译码器和数据选择器的原理和应用。

四译码器是数字电子电路中常见的器件,它将一个四位的二进制输入信号转换为一个十六位的输出信号。

数据选择器是另外一种常见的数字电路设备,它根据一个控制信号选择多个输入信号中的其中一个输出。

通过本实验,我们将深入学习和理解这些器件的工作原理和应用。

一、实验内容1.实验仪器和器件的使用本实验使用了以下工具和器材:数字万用表、集成电路74LS138、74LS151和74LS1532.实验步骤(1)将74LS138、74LS151和74LS153集成电路插入实验台的插座中。

(2)根据实验电路图连接电路。

(3)使用数字万用表检查电路连接的正确性。

(4)接通电源,观察四译码器和数据选择器的工作情况。

(5)根据实验要求,进行不同的输入输出组合测试。

(6)记录实验结果并分析。

二、实验原理1.四译码器四译码器是一种数字电路器件,它将一个四位的二进制输入信号转换为一个十六位的输出信号。

常见的四译码器有74LS138、74LS138采用电平译码的方式实现,当满足选择条件时,一个指定的输出信号会变为低电平,其他输出信号为高电平。

具体的工作原理如下:输入信号A、B、C用于选择要输出的信号。

当输入信号满足以下条件时,对应的输出Y变为低电平,其他输出Y为高电平:Y0=ABCY1=ABCY2=ABCY3=ABCY4=ABCY5=ABCY6=ABCY7=ABC数据选择器是另外一种常见的数字电路设备,它根据一个控制信号选择多个输入信号中的其中一个输出。

常见的数据选择器有74LS151和74LS153、74LS151是一个8位数据选择器,它有三个2位选择信号,根据选择信号选择要输出的数据。

74LS153是一个4位数据选择器,它有两个2位选择信号。

具体的工作原理如下:选择信号A、B用于选择要输出的数据。

当选择信号满足以下条件时,对应的数据输出:对于74LS151:Y0=D0Y1=D1Y2=D2Y3=D3Y4=D4Y5=D5Y6=D6Y7=D7对于74LS153:Y0=S0Y1=S1Y2=S1Y3=S1三、实验结果和分析在本次实验中,我们连接了74LS138、74LS151和74LS153三个集成电路,并根据实验要求进行了不同的输入输出组合测试。

实验四 PCM编译码及TDM时分复用实验

实验四 PCM编译码及TDM时分复用实验

二、实验预习要求
首先预习《通信原理》教材中关
于脉冲编码调制PCM原理的有关 章节,然后,再阅读本实验内容。
通信工程专业实验室
实验四
PCM编译码及TDM时分复用实验
三、实验仪器仪表
1、70MHz双踪数字存储示波器一台
2、实验模块:

低频信号源输出模块一 低频信号源输出模块二 数字时钟信号源模块 PCM编译码模块

通信工程专业实验室
实验四
PCM编译码及TDM时分复用实验
八、思考题
1、TP3057
PCM编码器输出的 PCM数据的速率是多少? 2、TP3057 PCM编码器获取时钟信 号后,内部要经过几分频才能得到 PCM采样时钟?
通信工程专业实验室


实验四
PCM编译码及TDM时分复用实验

图3 A律与μ律的压缩特性
通信工程专业实验室
实验四
PCM编译码及TDM时分复用实验
A律PCM基群帧结构如下图所示:
通信工程专业实验室
实验四
PCM编译码及TDM时分复用实验
3. PCM编解码集成电路


国内外有代表性的PCM编解码集成电路有很 多,在本实验中,选用了芯片作为PCM编解 码电路来作实验。编译码器是本实验中最易 受损器件,稍有不慎就有烧坏的可能,所以 我们在实验中要求特别细致。 PCM编译码器TP3057所需的工作时钟为 2.048MHz。
通信工程专业实验室
实验四
PCM编译码及TDM时分复用实验
六、实验步骤



5. 用示波器观察PCM译码输出信号 示波器的CH1接SA-IN,CH2接RA-OUT, 观察这两个信号波形是否相同(有相位差)。 示波器的CH1接SB-IN,CH2接RB-OUT, 观察这两个信号波形是否相同(有相位差)。

实验四循环码编、译码实验

实验四循环码编、译码实验

课程名称:通信原理实验题目:实验四循环码编、译码实验学生姓名:专业:电子信息工程班级:电信10-2班学号:指导教师:**日期: 2012 年 12 月 5 日实验四 循环码编、译码实验一、 实验目的了解生成多项式g (x )与编、译码器之间的关系,码距与纠、检错能力之间的关系。

二、 实验内容1.根据编码规则验证循环码的生成多项式1)(4569+++++=x x x x x x g 。

2.通过实验了解循环码的工作原理。

(1) 了解生成多项式g (x )与编码及译码的关系。

(2) 了解生成多项式g (x )与码距d 的关系。

(3) 了解码距d 与纠、检错能力之间的关系。

(4) 观察该码能纠几个错误码元。

(5) 观察循环码的循环性以及封闭性。

3.通过实验了解编、译码器的组成方框图及其主要波形图。

4.了解信道中的噪声对该系统的影响。

三、 基本原理1.总原理方框图(图1)。

图1循环码的编、译码系统由下列五部分组成:定时单元、信码发生器及显示部分、编码器、模拟信道部分(包括错码发生器及显示部分)和译码器。

(1) 定时单元本单元提供编码器及译码器所需的时序信号。

其时钟重复频率(CP )为2MHZ 。

(2)信码发生器本单元给编码器提供一个信号源,手控开关(板上CDIN )置于+5V 时,发光二极管亮,代表输出“1”码元。

若开关置于“0”,代表输出“0”码元。

根据二极管亮与否可在面板上直接读出所需信码。

信码从“000000”…“111111”共有26=64种状态,代表64个码字。

每一个码字均由手控开关组成,在帧脉冲信号的作用下,与门开启,手控信号并行输入移位寄存器(D 触发器)的S 端。

当脉冲消失后,随着时钟脉冲CP 的作用,CDIN 串行输出所需的码元。

(3)循环码编码器原理编码器是本实验的主要部分。

根据生成多项式1)(4569+++++=x x x x x x g ,采用5个异或门和D 触发器组成编码器。

在K1信号的控制下,输入6位信息码元CDIN ,一方面串行输入信道(即至收端译码器中的6位移存器),另一方面通过与门送入除法电路进行计算。

数电实验实验报告四译码器和数据选择器

数电实验实验报告四译码器和数据选择器

实 验 报 告一、实验目的1、熟悉集成译码器、数据选择器逻辑功能和应用。

2、了解中规模数字集成电路的性能和使用方法。

二、实验基本原理组合逻辑电路的逻辑功能 三、实验设备及器件74LS139、74LS153、电阻若干、LED 灯若干 四、操作方法和实验步骤1、74LS139(双2-4线译码器)功能测试图4-1 74LS139引脚图图4-1中,G 端为使能端,低电平有效;A0A1地址选择端;Y0~Y3是输出端(低电平有效)将G 、A1、A0端接逻辑电平开关,改变电平输入,观察74LS139译码输出的状态并填入表4-1中。

使能端 地址选择端 输出端 G ’ A1 A0 Y0 Y1 Y2 Y3 0 0 0 0 1 1 1 0 0 1 1 1 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 1**1111实验课程名称 数字电子技术实验 实验项目名称 译码器和数据选择器专业、班级 电子信息类四班实验日期 2020-06-01姓名、学号 同 组 人 教师签名成 绩实验报告包含以下7项内容:一、实验目的 二、实验基本原理三、主要仪器及设备 四、操作方法和实验步骤五、实验原始数据记录 六、数据处理过程及结果、结论 七、问题和讨论A 2Y04B 3Y15Y26E 1Y37U2:A74LS139(注:G' 表示低电平有效,Y0' 表示输出低电平有效)A2Y04B3Y15Y26E1Y37U2:A74LS13911AB1ED1LED-GREEND2LED-GREEND3LED-GREEND4LED-GREENR2220R3220R4220R5220Y 输出低电平有效,Y端为低电平时,LED灯亮图4-1 74LS139译码器功能测试图(注:电阻的元件名称:res ,通过修改res属性来修改电阻值)2、译码器转换。

将74LS139(双2-4线译码器)转换为3-8线译码器(1)画出转换电路图。

实验四 显示原理及译码显示电路设计

实验四  显示原理及译码显示电路设计

实验四 显示原理及译码显示电路设计一、实验目的1、 掌握发光二极管、数码显示管。

液晶显示器的工作原理、结构和使用方法。

2、 掌握各种集成译码器及译码显示电路的工作原理和使用方法。

二、实验仪器设备与主要器件1、 设备:实验箱一个;双踪示波器一台;稳压电源一台。

2、 操作用器件:74LS247、74LS47、74LS48、BS201数码管(共阳)、BS202数码管(共阴)。

3、 仿真器件:7446、7447;数码管三种:DCD HEX (内带译码功能)、SEVEN_SEG_COM_K (共阴)、SEVEN_SEG_DISPLAY (共阳) 三、实验原理数字系统中的测量或运算结果都需要用数字直观地显示出来,以便见识数字系统的工作状态。

数码显示电路通常由译码、驱动和显示等几部分组成。

数码静态显示原理框图如图所示。

当数字输入稳定后,就可以在数码管上显示,只要输入数字不变,则显示也不变。

实现此逻辑功能的电路包括7段译码器、数码管。

数码管一般采用共阳极或共阴极接法。

若7段译码器采用74LS247,则数码管采用共阳极接法。

1、 共阳型7段字符译码器74LS247有4位BCD 代码数据输入端D 、C 、B 、A ,7个译码代码a-g 输出端,低电平有效。

通过限流电阻R 与数码管对应相连,可直接驱动指示灯或共阳极LED 。

(7段译码器的逻辑符号)还有三个控制端,分别为LTRBI 和BI /RBORBI 位灭零输入。

设置灭零输入信号RBI 的目的是为了把不希望现实的零熄灭。

LT 为灯测试输入。

LT=0时,灯全亮。

正常工作时LT=1.BI/RBO 位灭灯输入/灭零输出信号。

做输入端使用时,成为灭灯输入控制端,BI=0时,无论D 、C 、B 、A 的状态是什么,数码管的各段同时熄灭。

BI/RBO 做输出端使用时,成为灭零输出端。

当D 、C 、B 、A 均为低电平,而且有灭零输入信号(RBI=0)时,RBO 才为低电平。

实验四译码器和数据选择器

实验四译码器和数据选择器

实验四译码器和数据选择器一、实验目的1、深入理解集成译码器和数据选择器的工作原理2、掌握集成译码器和数据选择器的使用方法3、了解译码器和数据选择器的常见应用二、实验仪器及材料1、FPGA开发套件及软件开发环境2、器件74LS139 2-4线译码器74LS153双4选1数据选择器74LS00二输入四与非门三、实验内容1、译码器功能测试将74LS139译码器按图4.1引脚说明图接线,按表4.1输入电平分别置位,填写功能表2、译码器转换将2—4线译码器转换为3—8线译码器(1)画出转换电路图(2)在开发环境下搭建电路并验证设计是否正确(3)设计并填写该3—8线译码器功能表,画出输入输出波形3、数据选择器的测试及应用(1)完成双4选1数据选择器74LS153功能测试;(2)在仿真测试过程中,设置4个不同频率的数字脉冲信号作为数据输入,接到数据选择器4个数据输入端,将选择端置位,使输出端分别观察到4种不同频率脉冲信号。

根据观察结果填写表4.2。

(3)分析上述实验结果并总结数据选择器的作用4、中规模组合逻辑电路设计:分别采用集成3-8译码器和四选一数据选择器完成一位全减器设计。

表4.2 数据选择器功能表四、实验报告根据报告模板各部分内容撰写实验报告。

实验结果记录须包括:1.根据实验内容整理实验结果,阐述译码器和数据选择器功能及特性。

2.按照组合逻辑电路一般设计步骤,准确记录各步骤分析过程、设计内容。

要求图、表绘制规范,内容清晰。

3.对设计电路进行功能测试,记录测试数据,判断是否满足需求。

要求原始实验结果记录,即仿真测试波形图和实际硬件环境运行结果的拍照、截图。

4.数据的整理、分析,根据原始数据整理得到的真值表、时序图、状态转换图等,以及用输入、输出变量之间的逻辑关系分析描述。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

中山大学学院:数据科学与计算机学院实验题目:译码显示电路一、实验目的1. 掌握中规模集成译码器的逻辑功能和使用方法2. 熟悉数码管的使用二、实验仪器及器件74LS48, 74LS194 , 74LS73,74LS00,74LS197, 74LS138, 以及各种门电路三、实验原理1. 数码显示译码器BCD码七段译码驱动器-----74LS48,用来驱动共阴极 LED数码管。

2. 扫描式显示利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。

由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码3. 四节拍发生器扫描显示要求数码管按先后顺序显示。

这就要求如图所示的选通信号。

通常该类型的信号称为节拍信号。

图中 74LS194 为移位寄存器。

它具有左移、右移, Cr 反 S1 S0 工作状态0 X X 置零 1 0 0 保持 1 0 1 右移 1 1 0 左移 1 1 1 并行送数 并行送数、保持及清除等五项功能。

其引脚图如图(六) 所示。

其中Cr 为清除端,CP 为时钟输入端,S 0、S 1为状 态控制端,D SR 为右移数据串行输入端,D SL 为左移数据 输入端,D 0、D 1、D 2、D 3位并行数据输入端,QA 、QB 、 QC 、QD 为数据输出端。

节拍发生器工作开始时,必须首先进行清零。

当 Cr 负脉冲过后 QA 、QB 、 QC 、QD 全为零。

JK 触发器Q =1,因而 S 1=S 0=1,实现并行送数。

当第一个脉冲的上升沿到达后,置入 0111,CP 下降沿到达后Q =0,即 S 1=0, S 0=1,实现右移功能。

在 CP 作用下输出依次为 1011,1101,1110,第四个 CP 下降沿到达后又使 Q=1,实现第二个循环。

四、实验内容 1.使用 74LS194,74LS73,74LS48,基础逻辑门和两个四联装的共阴极数码管, 实现本人学号的显示。

(本人学号为 15352316) 解题思路: 通过 74LS194作为四节拍顺序脉冲发生器,输出分别连入两块 4位数码 管的位选端,做到控制数码管从第 1位到第 4位扫描的同时在第 5位到第 8 位扫描。

确定了显示位置后,要产生与节拍发生器具有相同变换速度的两个 显示内容,分别作为前 4位学号和后 4位学号的段选段输入,则两个 74LS194 需要连接到同一个信号发生器(CLOCK),或者是只用一个 74LS194来实现。

而 74LS48的输入端 DCBA 对应到共阴极数码管的每个十进制数相对应的二进制,且 D为最高位。

故,可联立 74LS194的四个输出 Q0,Q1,Q2,Q3和 D,C,B,A,可得到相应的真值表及其表达式,然后通过相应的逻辑门连接起来。

真值表数字 Q0 Q1 Q2 Q3 D C B A第一个四联装的共阴极数码管15350 1 1 1 0 0 0 11 0 1 1 0 1 0 11 1 0 1 0 0 1 11 1 1 0 0 1 0 1第二个四联装的共阴极数码管2 0 1 1 1 0 0 1 01 0 1 1 0 0 1 11 1 0 1 0 0 0 11 1 1 0 0 1 1 0316实验电路:注意事项:1)在设计显示内容时,要注意不同器件的触发电平可能不同。

2)注意对于 74LS48的输入端来说,D,C,B,A的中的 D 对应的是四位二进制数的最高位,此处很容易出错。

3)对于 74LS48来说,其对应的共阴极数码管的 6和 9与有差别,很容易出错。

实验效果图:(15352316)2.使用 74LS197,74LS138,74LS48,基础逻辑门和一个八联装的共阴极数码管,实现本人学号的显示。

解题思路:通过 74LS197产生 BCD码计数,将 74LS197产生的 Q1,Q2,Q3 分别接到 74LS138的输入端 C,B,A,则可以产生的 8个输出如图所示故可以利用这些输出来控制八联装共阴极数码管的显示。

又可以利用这些输出进行组合逻辑,得到 74LS48的输入端 D,C,B,A的相应输入。

真值表:数字 Q3 Q2 Q1 D C B A153523160 0 0 0 0 0 10 0 1 0 1 0 10 1 0 0 0 1 10 1 1 0 1 0 11 0 0 0 0 1 01 0 1 0 0 1 11 1 0 0 0 0 11 1 1 0 1 1 0实验电路图:实验效果图:(15352316)注意事项:1) 注意将 74LS197 产生的 Q1,Q2,Q3 分别接到 74LS138 的输入端 A,B,C,其中,C在 74LS138是最高位,应该接 Q3.2) 注意 74LS138 的 8 个输出与八联装共阴极数码管的显示控制端的接法,即 1,2,3,4,5,6,7,8对应 74LS138的m0,m1,m2,m3,m4,m5,m6,m73) 在使用逻辑门与非门的时候,注意与门与与非门之间的差距,不要在细节的地方出错3.使用其它设计方法,实现本人学号的显示。

解题思路:综合上面两种实现方法,可利用门电路的逻辑组合和 74LS138一起来实现。

真值表及其表达式:数字 Q3 Q2 Q1 D C B A1 5 3 523 1 6 0 0 0 0 0 0 1 0 0 1 0 1 0 1 0 1 0 0 0 1 10 1 1 0 1 0 11 0 0 0 0 1 0 1 0 1 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 1 1 0具体的实现电路图如下:实验效果图如下:4.使用 2*74LS197串联,产生两位十进制 00-59的计数,计数脉冲为 1HZ;设计电路,在两联装的共阴极数码管,显示出 00-59的秒钟计数。

解题思路:要产生两位十进制的计数,则通过 74LS194作为二节拍顺序脉冲发生器,即我们只需要 Q0. Q1 的输出,组成 01-10 的循环即可,则有节拍发生器的组成原理及其功能构成可得:K的信号由 Q1的反构成。

又 01对应数码管的 1位控制端的显示,10对应数码管的 2 位控制端的显示,故,Q0 接 1,Q1接 2。

又对应数码管的 1 位控制端的显示,74LS48 中的输入端有一种输入信号,对应数码管的 2位控制端的显示,74LS48中的输入端又有一种输入信号,故在计数的过程中,会出现两套不同的信号输入,则此时,我们可以联想到前面用过的数据选择器74LS153,如果将 A1、A0作为两个输入变量,同时令 D10、D11、D12、D13为第三个输入变量的适当状态(包括原变量、反变量、0和 1),就可以在数据选择器的输出端产生任何形式的三变量组合逻辑电路。

又有 ABCD四个信号输入端,则需要两个 74LS153,每个 74LS153可有两个选择输出。

又节拍发生器控制数码管的显示,74LS48控制数码管的显示内容,显示内容有 74LS153的输出决定,故 74LS153的选择功能由节拍发生器决定,则 74LS153的 A,B端分别接节拍发生器的 Q1,Q0可得当 01 对应数码管的 1 位控制端的显示时,74LS197 的输出 Q0,Q1,Q2,Q3 与74LS48的输入 ABCD之间的真值表如图:当 01 对应数码管的 1 位控制端的显示时,74LS197的输出 Q0,Q1,Q2,Q3与 74LS48 的输入 ABCD之间的真值表如图:Q13 Q12 Q11 Q10 D C B A 011110 0 0 0 01 0 0 0 10 0 0 1 01 0 0 1 10 0 1 0 01 0 1 0 1 Q23 Q22 Q21 Q20 D C B A 011111111110 0 0 0 01 0 0 0 10 0 0 1 01 0 0 1 10 0 1 0 01 0 1 0 10 0 1 1 01 0 1 1 10 1 0 0 01 1 0 0 1观察上面两个表格,可知, 74LS197的输出 Q0,Q1,Q2,Q3与 74LS48的输入 A,B,C,D是相互对应的,则有:如图所示的电路图:因为,74LS153 中 A,B 对应的值只有 01 和 10两种,故只需要在 1x1 和 1x2 中做选择,且 AB中,B是高位,则 1x1对应的 BA为 01,1x2对应的 BA为 10,故1x1接第 2级 74LS197对应的输出,1x2接第 1级 74LS197对应的输出。

至于两个 74LS197的级联,要注意,应该是第一级的 Q3作为第二级的输入信号,而且,计数的范围是 00-59,故第一级对应的 10进制数字范围位 0-9,第一级对应的 10进制数字范围位 0-5,则需要利用 74LS197中 MR的内存重置的功能,可得两个 74LS197的级联的电路图如下:实验效果图如下:注意事项:1)注意节拍发生器中 J的输入信号的接法,即,其是 2个节拍。

2)注意 74LS197的级联,即应该是第一级的 Q3作为第二级的输入信号,而且,计数的范围是 00-59,故第一级对应的 10 进制数字范围位 0-9,第一级对应的 10进制数字范围位 0-5,则需要利用 74LS197中 MR的内存重置的功能3)注意 74LS153中的输入控制信号 A.B,其中 B对应的是高位,则,AB对应的的数据选择输出功能如下:B A Y0 0 x00 1 x11 0 x21 1 x3五、实验总结1.分析实验中出现的问题。

1)对于要做的实验没有思路,主要是因为没有熟练的掌握各元器件的构造原理及其功能2)总是在一些接线的地方出一些小错误,主要是因为自己可能在接线路的时候不细心,或者是自己的接线方法不正确,应该先规整好内容和思路再来接线3)总是弄混输入信号与元器件接口的对应关系,主要还是因为没有很好的掌握元器件内部的构造及其原理。

4)没能给很好地掌握前面学过的知识点,不能熟练的应用前面学过的只是来解决问题。

2. 总结组合逻辑电路分析与设计体会。

(1) 分析给定的实际逻辑问题的因果关系,确定输入和输出变量,进行逻辑状态赋值;(2) 根据给定的因果关系,列出真值表;(3) 用卡诺图或代数化简法求出最简的逻辑表达式;(4) 根据表达式,画出逻辑电路图,用标准器件构成电路;(5) 最后,用实验来验证设计的正确性3.心得体会掌握好相关元器件的知识点,根据实际情况来设计电路,要细心,要有分寸。

要学会规整电路,要学会通过真值表来判断自己的电路图是否出错,并找出相应的错误。

相关文档
最新文档