数字逻辑实验报告(全加器)

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程名称:数字逻辑实验

实验项目:一位全加器的原理及实现

姓名:

专业:计算机科学与技术

班级:计算机14-8班

学号:

计算机科学与技术学院

实验教学中心

2015年12月15日

实验项目名称:一位全加器的原理及实现

一、实验要求

设计一个一位全加器,实现全加器的功能。

二、实验目的

掌握一位全加器的设计方法原理和使用,熟悉掌握数字电路设计步骤和方法。

三、实验内容

全加器功能分析:

全加器是能够计算低位进位的二进制加法电路。与半加器相比,全加器不只考虑本位计算结果是否有进位,也考虑上一位对本位的进位,可以把多个一位全加器级联后做成多位全加器。

全加器有三个输入端:被加数Ai、加数Bi、相邻低位进位Ci-1,两个输出端:本位和Si以及相邻高位进位Ci。

由功能分析,一位全加器真值表如下:

输入输出

Ci-1 Ai Bi Si Ci

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 0 1

1 0 0 1 0

1 0 1 0 1

1 1 0 0 1

1 1 1 1 1

由上表可以得到一位全加器各输出的逻辑表达式:

由以上2式可以画出逻辑电路图,如下:

四、实验步骤 建立一个新的文件夹

打开Quartus Ⅱ后,新建工程,输入工程名。

选择仿真器件,器件选择FLEX10K ,芯片选择EPF10K10TC144-4 。 新建“Block Diagram/Schematic File ”文件画逻辑图并编译。 新建“Vector Waveform File ”波形文件,设置好输入的波形,保存文件并分析仿真波形。

选择“Assignments ”->“Pins ”,绑定管脚并编译。

选择“Tools ”->“Programmer ”点击“Start ”下载到芯片并进

i

i i i i i i i i i i B A C B C A C C B A S ⋅+⋅+⋅=⊕⊕=---111

行逻辑验证。

五、实验设备

LP-2900逻辑设计实验平台,计算机,QuartusⅡ

六、实验结果

Ci-1端输入波形周期为200微秒

Ai端输入波形周期为100微秒

Bi端输入波形周期为50微秒

仿真波形如下:

经过验证,仿真波形符合设计要求。

相关文档
最新文档