西安电子科技大学可编程逻辑器件研究生实验报告1-5
西安电子科技大学可编程逻辑器件研究生实验报告1-5
西安电子科技大学可编程逻辑器件原理、应用与实验课程实验报告实验名称实验一 ---- 实验五电子工程学院学院 162 班Array姓名陈圆圆学号 1602121053同作者实验日期 2017 年 4 月 8 日(2) 要求:要考虑借位、进位。
在软件环境下,编写源文件,并用器件实现二、实验所用仪器(或实验环境)操作软件diamond 2.0以及LATTICE XP2系列实验板。
三、实验基本原理及步骤(或方案设计及理论计算)1、建立新工程jishuqi,选择器件,选择器件芯片family中选择Laattic XP2,Device选择LFXP2-5E,Part Names选择LFXP2-5E-6TN144C。
2、建立verilog源文件jishuqi。
3、综合,编译。
4、进行管脚分配,在此实验中,采用按键19开关为加、减法的选择,拨码开关55为是否有进位及借位,LED46为进位的显示,LED39、40、43、44分别为输出结果的显示。
5、程序的烧写。
四、在Diamond主界面中,进入Tools->Programmer,点击OK键,然后点击图标,在State栏出现PASS,即说明烧写成功。
四、实验数据记录(或仿真及软件设计)module jishuqi (cbin,sum,cout,sel); //cbin表示进位或借位,sel表示选择加减法input cbin;//定义进位或借位input sel;output sum,cout;5.程序的烧写。
在Diamond主界面中,进入Tools->Programmer,点击OK键,然后点击图标,在State栏出现PASS,即说明烧写成功。
三、实验数据记录(或仿真及软件设计)module seqdet(x,z,clk,rst);input x,clk,rst;output z;wire z;reg [2:0] state;parameter IDLE=3'b000,s0=3'b001,s1=3'b010,s2=3'b011,s3=3'b100;assign z=(state==s3&&x==1)?1:0;always @(posedge clk)beginif(!rst)state<=IDLE;else4. 综合在主界面Process窗口中双击Translate Design,对所编辑的代码进行综合。
可编程逻辑器件实验报告完整版
2012 秋《可编程逻辑器件》 课程设计报告报告题目:数字钟实验报告组长 组员姓名学号邮箱成绩.1 设计内容概述 1.1 功能概述:一个具有计秒、计分、复位的数字钟,数字钟从 0 开始计时,计 满 60 秒后自动清零,分钟加 1,最大计时显示 59 分 59 秒。
用 A7 按键 作为系统时钟复位,复位后全部显示 00 00,重新开始计时。
1.2 输入输出接口:NET "clk" LOC = "B8" ; NET "dula[0]" LOC = "L14" ; NET "dula[1]" LOC = "H12" ; NET "dula[2]" LOC = "N14" ; NET "dula[3]" LOC = "N11" ; NET "dula[4]" LOC = "P12" ; NET "dula[5]" LOC = "L13" ;NET "dula[6]" LOC = "M12" ; NET "dula[7]" LOC = "N13" ; NET "rst" LOC = "A7" ;NET "wela[0]" LOC = "F12" ; NET "wela[1]" LOC = "J12" ; NET "wela[2]" LOC = "M13" ; NET "wela[3]" LOC = "K14" ;2 系统框图及模块划分 采用自顶向下分层设计思想的大概设计示意图如下:计时器秒计数分计数动态显示60 进制'..3 组员任务划分 1:负责本课题的开展,组织,协调及任务分配与安排问题,查找课题相关资料,完成主程序,及接口控制文件的编写。
可编程逻辑器件实验报告
一、实验目的通过本次实验,使学生掌握可编程逻辑器件(FPGA)的基本原理和操作方法,了解其结构特点和应用领域。
通过实验,培养学生动手实践能力和创新意识,提高学生运用FPGA进行数字系统设计和验证的能力。
二、实验原理可编程逻辑器件(FPGA)是一种高度集成的数字电路,具有可编程性、可扩展性和可重用性。
FPGA主要由可编程逻辑单元、可编程互连资源、时钟管理单元、I/O单元等组成。
通过编程,用户可以根据自己的需求定制FPGA内部逻辑结构,实现各种数字电路功能。
FPGA编程通常采用硬件描述语言(HDL),如VHDL或Verilog。
HDL描述了电路的功能和结构,通过编译和综合,生成FPGA内部的逻辑资源分配和互连关系。
实验中,我们将使用Quartus II软件进行FPGA编程和仿真。
三、实验内容1. FPGA基础操作(1)安装Quartus II软件,熟悉软件界面和基本操作。
(2)搭建FPGA实验平台,包括FPGA开发板、电源、连接线等。
(3)将FPGA开发板连接到计算机,进行硬件初始化和配置。
2. FPGA编程(1)使用VHDL或Verilog语言编写实验程序,实现简单的数字电路功能,如全加器、编码器、译码器等。
(2)将编写好的程序导入Quartus II软件,进行编译和综合。
(3)观察编译报告,检查程序语法错误和资源占用情况。
(4)进行仿真,验证程序功能是否正确。
3. FPGA下载与验证(1)将编译后的程序下载到FPGA芯片中。
(2)使用示波器或逻辑分析仪等工具,观察FPGA输出的波形,验证程序功能。
(3)根据实验要求,修改程序参数,优化电路性能。
四、实验步骤1. 搭建实验平台(1)将FPGA开发板连接到计算机,确保所有连接线正确。
(2)检查电源电压,确保FPGA芯片供电正常。
2. 编写程序(1)打开Quartus II软件,创建新工程。
(2)选择合适的HDL语言,编写实验程序。
(3)保存程序,并添加到工程中。
可编程逻辑器件实验报告
可编程逻辑器件作业北京工业大学电子信息工程实验班王智一09022128一、实验内容1.3×8译码器(1)设计输入①建立新的工程,建立新的VHDL FILE,输入程序代码(注意:工程文件夹、工程名称以及顶层实体名推荐使用相同的名称):程序代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity demo isPort ( A : in STD_LOGIC_VECTOR (2 downto 0);EN: in STD_LOGIC;Y : out STD_LOGIC_VECTOR (7 downto 0));end demo;architecture DEC of demo issignal indata:STD_LOGIC_VECTOR (2 downto 0);beginprocess(A,EN)beginindata(0)<=A(0);indata(1)<=A(1);indata(2)<=A(2);if(EN='1')thencase indata iswhen "000" =>Y<="00000001";when "001" =>Y<="00000010";when "010" =>Y<="00000100";when "011" =>Y<="00001000";when "100" =>Y<="00010000";when "101" =>Y<="00100000";when "110" =>Y<="01000000";when "111" =>Y<="10000000";when others=>Y<="11111111";end case;end if;end process;end DEC;(2)编译实验仿真波形:图1 3×8译码器输入波形图2 3×8译码器输出波形图3 3×8译码器管脚配置截图图4 3×8译码器Xilinx仿真波形2.数码管显示(1)设计输入程序代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY demo ISPORT(di: in STD_LOGIC_VECTOR(3 downto 0);a: out STD_LOGIC;b: out STD_LOGIC;c: out STD_LOGIC;d: out STD_LOGIC;e: out STD_LOGIC;f: out STD_LOGIC;g: out STD_LOGIC);END demo;ARCHITECTURE a of demo isbeginprocess(di)type data_out is array(0 to 6) of std_logic;variable outp: data_out;begincase di iswhen "0000"=>outp:="1111110";when "0001"=>outp:="0110000";when "0010"=>outp:="1101101";when "0011"=>outp:="1111001";when "0100"=>outp:="0110011";when "0101"=>outp:="1011011";when "0110"=>outp:="1011111";when "0111"=>outp:="1110000";when "1000"=>outp:="1111111";when "1001"=>outp:="1111011";when "1010"=>outp:="1110111";when "1011"=>outp:="0011111";when "1100"=>outp:="1001110";when "1101"=>outp:="0111101";when "1110"=>outp:="1001111";when "1111"=>outp:="1000111";when others=>null;end case;a<=outp(0);b<=outp(1);c<=outp(2);d<=outp(3);e<=outp(4);f<=outp(5);g<=outp(6);end process;end a;(2)编译实验仿真波形:图5 数码管输出波形3.BPSK的解调(1)BPSK的解调原理:调相信号是通过载波的相位变化来传输消息的,它具有恒定的包络,而且频率上也无法分离,所以不能采用包络解调,只能采用相干解调。
可编程逻辑器件设计实验报告
可编程逻辑器件设计实验报告可编程逻辑器件设计实验报告实验背景近年来,随着计算机技术的飞速发展,可编程逻辑器件逐渐成为了计算机领域中不可或缺的一部分。
可编程逻辑器件是一种能够通过编程实现特定功能的电路器件,具有广泛的应用范围,如数字系统控制、数据处理、自动化控制等领域。
在本次实验中,我们将通过设计一个可编程逻辑器件,来加深对于逻辑电路和计算机原理的理解,并能够对数字系统进行控制和设计。
实验原理可编程逻辑器件设计的原理基本上是对于基本逻辑门的运算进行模拟,然后将模拟结果存储在器件中,再根据需要进行处理和操作。
本实验中我们采用了FPGA芯片,它具有可编程的逻辑门、可编程的触发器和可编程的连接关系等,能够在一个芯片中实现很多模块。
我们利用Verilog HDL语言,将逻辑模块设计成RTL级别的元件,并组成所需的逻辑电路,最后通过实验板实现电路的实际运行。
实验流程本次实验分为两部分:第一部分为单周期CPU实验,第二部分为五级流水线CPU实验。
1. 单周期CPU实验单周期CPU是指执行一个指令需要一个时钟周期的CPU。
本实验建立的CPU支持R-type、lw和sw三种指令。
具体的流程如下:① 取指令(instruction fetch,IF)从存储器中(ROM)读取指令并存入指令寄存器中。
② 指令译码(instruction decode,ID)将指令寄存器中存放的指令解析出来,识别出操作码和操作寄存器,并将后者中写入数据的数据读出。
③ 执行(execution,EX)根据操作码,将指令的两个操作数进行运算,并将运算结果写入数据写寄存器中。
④ 访存(memory access,MEM)从存储单元中读取或写入数据,具体包括load word(lw )指令和store word(sw)指令。
⑤ 写回(write back,WB)将执行结果写入指令寄存器。
完成后,程序会返回至IF状态,将下一条指令取入指令寄存器,可以进行下一轮操作。
可编程逻辑器件应用技术实验报告册
可编程逻辑器件应用技术实验报告册主编魏欣前言一、可编程逻辑器件应用技术实验任务EDA技术是现代电子工程领域的一门新技术,它提供了基于计算机和信息技术的电路系统设计方法。
EDA技术的发展和推广应用极大地推动了电子工业的发展。
随着EDA技术的发展,硬件电子电路的设计几乎全部可以依靠计算机来完成,这样就大大缩短了硬件电子电路设计的周期,从而使制造商可以快速开发出品种多、批量小的产品,以满足市场的需求。
EDA教学和产业界的技术推广是当今世界的一个技术热点,EDA技术是现代电子工业中不可缺少的一项技术。
二、实验内容编排本实验教材的内容编排如下:任务一是详细介绍了CPLD实验板配套的使用软件的使用方法。
任务二至实验七是基本技能单项实验。
任务八是综合实验,必须由学生们利用开发板自行设计软件和硬件实现。
附录一是芯片引脚对照表,方便在下载时查找对应的芯片引脚。
附录二是CPLD实验板的原理图,方便在实验是查找。
需要说明的是,所有的实验都没有给出参考程序,要求同学们自主设计程序,因此即使是基础实验,也可以归为设计性实验,这样可以很好的锻炼同学们的设计能力。
设计工作任务书简述Quartus Ⅱ的设计流程?设计工作任务书设计工作任务书设计工作任务书设计工作任务书Mealy型状态机框图用VHDL语言分别设计摩尔和米勒型状态机,并编译、综合、适配、仿真、实验板上的硬件测试写出经调试通过的试验程序,软件编译及仿真分析、硬件测试和实验过程、程序分析报告、仿真波形图和结果分析。
设计工作任务书40设计工作任务书42设计工作任务书44设计步骤:__________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________性能测试结果:__________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ 结论与体会:__________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ 46附录二: CPLD实验板原理图。
西安邮电大学可编程逻辑实验报告
可编程逻辑实验院系名称 :电子工程学院学生姓名 : 专业名称 : 电子科学与技术班 级 :学号 :实验名称:门电路的设计实验一:用原理图输入法设计门电路实验目的:1.掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+plus的操作。
1.学会利用软件仿真和实现用硬件对数字电路的逻辑功能进行验证和分析。
器材:PC实验内容:实现1、F=/AB 2、F=AB+CD实验结果:1.F=/AB原理图:仿真结果:2.F=AB+CD原理图:仿真结果:实验二:用原理图输入法设计门电路实验目的:1.进一步掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+plus的操作。
2.学会利用软件仿真和实现用硬件对数字电路的逻辑功能进行验证和分析。
3.学习初步的VHDL程序设计方法。
器材:PC实验内容:实现3、F=A⊕B4、F=/abc+/d实验结果:3、F=A⊕B源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity xor2 isport(a,b:in std_logic;F:out std_logic);end;architecture main of xor2 isbeginF<=a xor b;end;仿真结果:4、F=/abc+/d源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity zhonghe isport(a,b,c,d:in std_logic;F:out std_logic);end;architecture main of zhonghe issignal g,h,y,m,n:std_logic;beginm<=not a;g<=m and b;h<=g and c;n<=not d;y<=h or n;F<=y;end;仿真结果:实验小结:本实验为第一次DEA实验,不免有些兴奋和好奇,加之老师讲的比较好,所以基本上没有遇到什么问题。
可编程逻辑器件实验报告
可编程逻辑器件实验报告
一.实验目的
1.掌握数字时钟的设计方法
2.掌握CPLD设计流程,设计60进制计数器,进行仿真同时观察控制效果二.方案设计与工作原理
1.方案设计
共用时钟和复位脉冲,DIVDER进行分频(此处仿真采用2分频,实际实验采用7分频),o_comm进行数码管显示控制,counter控制进制数(本实验采用60进制,各位十进制,十位6进制),encoder进行代码转换,将十进制数转换成相应控制数码管的16进制数,scaner控制4为数码管的输出顺序。
如图所示:
2.部分代码调试
Counter:
Divder:
Encoder:
Scanner:
三.系统实现与结果仿真
四.调试结果(照片)
五.感想与建议
1.可编程逻辑器件实验课的学习,锻炼了我的动手能力,将以前学习的数字电子技术理论知识与实践相结合,加深了我对数电知识的理解。
从编程到仿真到烧写开发板,直至完成每一次的实验,我体会到一种设计逻辑器件的乐趣。
同时,在与小组成员的交流中,合作能力也得到了培养。
2.我希望以后老师上课的讲解可以放慢一点,这样可以听的更清晰,也更易于理解。
西安电子科技大学 数字电路实验报告1
实验一报告1.题目集成逻辑门的测试2.实验目的了解与非门各参数的意义。
熟悉万用表的使用方法。
熟悉数字逻辑实验板的使用方法。
了解集成逻辑门电路的使用注意事项。
3.实验设备及仪器数字逻辑电路实验板1块HD74HC00P 1片数字万用表1块4.实验原理本实验采用HD74HC00P,即在一块集成块内含有四个相互独立的与非门,每个与非门有两个输入端。
试验用器件管脚介绍:1HD74HC00P管脚如上图所示。
一.与非门逻辑功能测试(基本命题)实验图:实验结果:输入1 输入2 输出0 0 11 0 10 1 11 1 0实验过程中的问题:在实验过程中,实验接入完全正确,led灯不亮。
解决办法:经过认真检查之后发现个别led灯已经坏掉导致没有出现实验结果,换上别的灯口之后问题解决。
实验体会:实验过程中,耐心仔细很重要。
出现问题之后要学会自己逐步检查。
二.与非门电压传输特性测试(基本命题)实验图:实验结果:输0.03 1.02 2.54 2.63 2.74 2.81 2.90 2.99 3.13 4.03 4.53入4.74 4.74 4.74 2.39 2.25 2.16 2.06 1.88 0.03 0.03 0.03输出实验过程中的问题:实验过程中,万能表测电压总是不准确,可能因为接触不良、万能表本身误差或者其他原因导致万能表显示的示数一闪一闪的。
解决办法:关于万能表自身的客观原因,在读不准的范围内,我会多次重新从0专门测这个范围的数据;电源本身也会一闪一闪的,所以我多换了几个电源测试,这样就能减少仪器所引起的系统误差。
关于非系统误差,也就是导线接触不良的影响,我们则会几个人组队,请同学帮忙固定线,使接线柱接线良好。
最终得到了正确的结论。
实验体会:由于实验没有具体详细的步骤,所以实验之前的预习非常重要。
但是由于没有接触过集成电路板,所以第一次实验难免会感觉有些陌生。
实验是要求实践能力的。
在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。
西安电子科技大学数字电路实验报告
数字电路实验报告1. 组合逻辑研究(一)一实验目的1.了解用SSI器件实现简单组合逻辑电路的方法。
2.了解编码、译码与显示的工作原理。
3.掌握用MSI器件实现四位全加器的方法,并掌握全加器的应用。
4.熟悉四位数字比较器的原理,掌握四位数字比较器的应用。
二实验所用仪器、设备1. 万用表一块2. 直流稳压电源一台3. 数字电路实验板一块三实验说明组合逻辑电路是数字电路中最常见的逻辑电路之一,它是根据给定的逻辑功能,设计出实现这些功能的逻辑电路。
组合逻辑电路的特点,就是在任一时刻电路的输出仅取决于该时刻的输入信号,而与信号作用前电路所处的状态无关。
组合逻辑电路的设计一般可按以下步骤进行:(1)逻辑抽象。
将文字描述的逻辑命题转换成真值表。
(2)选择器件类型。
根据命题的要求和器件的功能决定采用哪种器件。
(3)根据真值表和选用逻辑器件的类型,写出相应的逻辑函数表达式。
当采用SSI集成门电路设计时,为了使电路最简,应将逻辑表达式化简,并变换成与门电路相对应的最简式;当采用MSI组合逻辑器件设计时,则不用将逻辑函数进行化简,只需将其变换成MSI器件所需要的函数形式。
(4)根据逻辑函数表达式及选用的逻辑器件画出逻辑电路图。
四实验内容(一)基本命题1.按照P104图4-1-3连接实验线路,输入加逻辑开关,输出加LED显示器,测试三变量多数表决器的功能,并记录真值表。
图4-1-3 用门电路实现的多数表决电路2.用四2输入异或门74LS86和四2输入与非门74LS00组成一位全加器电路,输入加逻辑开关,输出加LED 显示器,测试其功能,并记录真值表。
卡诺图 iABC Fi+1图5-1 一位全加器电路图5-1所示电路是由四2输入与非门74LS00和四2输入异或门74LS86组成的一位全加器电路。
此电路可以实现两个一位二进制数 A i 和B i 相加,并考虑来自低一位的进位 C i ,输出 S i 为本位和,C i-1为本位向高一位的进位。
可编程逻辑器件实验报告
可编程逻辑器件实验报告基于FPGA数字频率计设计在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。
测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。
数字式频率计的测量原理有两类:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法即测周期法,如周期测频法。
直接测频法适用于高频信号的频率测量,通常采用计数器、数据锁存器及控制电路实现,并通过改变计数器阀门的时间长短在达到不同的测量精度;间接测频法适用于低频信号的频率测量,本设计中使用的就是直接测频法,即用计数器在计算1S内输入信号周期的个数。
数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。
随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。
1.设计原理根据频率的定义和频率测最的基本原理, 测定信号的频率必须有一个脉宽为1秒的输人信号且计数允许1秒结束后, 计数值送锁存器锁存并将测频计数器清零,为下一次测频计数做好准备。
可见, 设计的关键是控制一个时间闸门, 1秒计数完毕, 锁存数据, 并清零。
1.1 数字频率计的总体设计功能说明数字频率计设计框图如图所示, 主要由分频器、测量频率控制电路、十进制计数器、寄存器、译码器、显示驱动等六个模块组成。
当系统正常工作时,系统时钟经分频得到的1Hz标准方波信号, 作为频率测量控制电路的输人信号, 1Hz信号经2分频后高电平持续时间为1s, 用1s的时间使能计数器计数,将结果保存到锁存器, 就可以保证输出显示稳定。
将计数值转换为LCD1602的相应代码, 采用液晶显示器来显示待测信号的频率。
可编程逻辑器件实验报告完整版
可编程逻辑器件实验报告完整版实验报告:可编程逻辑器件的应用与实验引言:可编程逻辑器件(Programmable Logic Device,PLD)是一种用于实现数字逻辑功能的集成电路。
它可以根据用户的需求进行可编程配置,从而实现不同的逻辑功能。
本次实验旨在通过对可编程逻辑器件的使用和应用,了解其原理和使用方法,培养我们的电路设计和实现能力。
一、实验目的:1.了解可编程逻辑器件的基本原理和工作方式;2. 掌握使用Xilinx ISE软件进行PLD设计和仿真的方法;3.进行简单的PLD设计与实现,验证其功能和正确性。
二、实验原理:可编程逻辑器件由可编程逻辑阵列(Programmable Logic Array,PLA)和可编程互连(Programmable Interconnect)组成。
它可以通过内部的可编程开关电路和存储器单元,将逻辑功能和互连关系进行可编程配置,从而实现不同的逻辑功能。
1. 可编程逻辑阵列(PLA):主要由可编程逻辑门阵列(Programmable Logic Gate Array,PLGA)和存储器单元(Memory Cell)组成。
PLGA由多个逻辑门和可编程开关电路组成,可以实现逻辑功能的实现和连接。
存储器单元用于存储逻辑功能实现的信息。
2. 可编程互连(Programmable Interconnect):可编程逻辑器件内部的互连部分由可编程开关电路组成,用于将PLGA中的逻辑功能进行连线,形成所需的电路。
3. 配置位流(Configuration Bitstream):配置位流是将设计好的逻辑功能以二进制的形式存储到可编程逻辑器件中,实现PLD的可编程配置。
三、实验步骤:1. 运行Xilinx ISE软件,创建一个新的工程;2.在工程中添加一个PLD器件,并选择相应的型号和参数;3.设计逻辑功能电路,将其转化为逻辑图;4. 使用Xilinx ISE软件进行逻辑综合和仿真,验证电路功能的正确性;6.通过信号发生器输入测试信号,并通过示波器观察输出结果,验证PLD的功能和正确性。
《可编程逻辑器件设计及应用》实验报告
Harbin Institute of Technology可编程逻辑器件设计及应用实验报告姓名:同组人:学号:班级: 1105201指导教师:张新潮院系:电子与信息工程学院实验一异步16分频一、实验内容1、学习SIE安装过程2、建立一个新的工程(cpld 9500系列)3、输入电路图4、建立测试波形方法仿真激励图形5、功能仿真6、建立引脚约束文件NET "CLK" LOC = "P6";NET "CLR" LOC = "P7";NET "O1" LOC = "P42";NET "O2" LOC = "P37";NET "O3" LOC = "P40";NET "O4" LOC = "P39";7、形成下载文件二实验结果三实验结果讨论分析实验当中采用了四个分频器,将发送的脉冲信号进行分频,并实现十六分频。
从仿真可以看出,实验结果和预期相符地很好。
指导教师签字:实验二:电路图方法分层设计:全加器一、实验内容1、建立一个新的工程(cpld 9500系列)2、建立一个独立的电路图(All_ADD)3、输入电路图一位全加器4、建立测试波形方法仿真激励图形5、功能仿真见实验结果。
8、生成电路模块9、利用电路模块设计8位全加器,(新电路图或者顶层电路图)10、建立测试波形方法仿真激励图形11、功能仿真仿真图见实验结果。
二实验结果2.1一位全加器仿真结果2.2 八位全加器功能仿真结果三实验结果讨论分析按照实验步骤依次建立相关模块,并进行仿真。
从波形图中可以看出,对于单个全加器可以实现全加,对于八个全加器能够实现八位全加。
实验结果符合要求。
指导教师签字:3 实验三:Verilog语言方法设计:8位全加器二、实验内容1、建立一个新的工程(cpld 9500系列)2、建立一个Verilog模块(All_ADD8)3、输入全加器module ALL_Addr8(A, B, CI, SUM, CY);input [7:0] A;input [7:0] B;input CI;output [7:0] SUM;output CY;assign {CY,SUM}=A+B+CI;endmodule4、建立测试波形方法仿真激励图形5、功能仿真见实验结果。
可编程逻辑实验报告(免费)
实验名一、用原理图输入法设计门电路一:实验目的:1 通过一个简单的反相器实现,初步了解CPLD开发的全过程;2 学会利用软件和硬件实现对数字电路的逻辑功能进行验证和分析;3 能够通过CPLD开发实现具有反相器功能的数字电路。
二:实验所用仪表及主要器材:MAX+plusⅡ软件三:实验原理简述(原程序、真值表、原理图):真值表:X Y0 11 0原理图:四:实验测量记录(数据、仿真波形图及分析、原程序分析、硬件测试实分析):仿真波形图:实验名一、用原理图输入法设计门电路(2)一:实验内容:用原理图输入法完成以下逻辑表达式的电路设计,要求编译方:(1)F=~(AB)。
(2)F=AB+CD.用门电路设计实现二位二进制数全加器。
(1):原理图仿真波形(2)原理图仿真波形(3)原理图仿真波形五:实验心得(实验中问题的解决方法等):这次实验的目的主要是了解MAX+plus Ⅱ软件使用与操作,最初对这个软件很不适应,不知道怎么使用,但看过课本上面的例子之后还是很快的适应了,做了一个例子已经熟悉了软件的使用方法,最后很容易把实验最初来了,收获很大。
西安邮电学院可编程逻辑实验报告实验名称 二、用文本输入法设计门电路一:实验目的1 通过各种常见电路的实现,进一步熟悉CPLD 开发的全过程;2 认识各种常见门电路,并掌握它们的逻辑功能。
3 能够通过CPLD 的开发实现具有门电路的数字电路。
二:实验所用仪表及主要器材系 别 电子工程学院 学 号 04094017成 绩 实验日期班 级 微电子0901姓 名 李欢 教师签字MAX+plusⅡ软件三:实验原理简述(原程序、真值表、原理图)1.与门(1)源程序library ieee;use ieee.std_logic_1164.all;entity a isport(a,b:in std_logic;y:out std_logic);end;architecture rel_2 of a isbeginy<=a and b;end;(2)真值表a b y0 0 00 1 01 0 01 1 1(3)实验结果:与门的波形2.或门(1)源程序library ieee;use ieee.std_logic_1164.all;entity a isport(a,b:in std_logic;y:out std_logic);end;architecture rel_2 of a isbeginy<=a or b;(2)真值表a b y0 0 00 1 11 0 11 1 1 3.与非门(1)源程序library ieee;use ieee.std_logic_1164.all;entity a isport(a,b:in std_logic;y:out std_logic);end;architecture rel_2 of a isbeginy<=a nand b;end;(2)真值表a b y0 0 10 1 11 0 11 1 0(3)波形4.或非门(1)源程序library ieee;use ieee.std_logic_1164.all;entity a isport(a,b:in std_logic;y:out std_logic);architecture rel_2 of a isbeginy<=a nor b;end;(2)真值表a b y0 0 10 1 01 0 01 1 0(3)波形5.异或门(1)源程序library ieee;use ieee.std_logic_1164.all;entity a isport(a,b:in std_logic;y:out std_logic);end;architecture rel_2 of a isbeginy<=a xor b;end;(2)真值表a b y0 0 00 1 11 0 11 1 0(3)波形6.同或门(1)源程序library ieee;use ieee.std_logic_1164.all;entity a isport(a,b:in std_logic;y:out std_logic);end;architecture rel_2 of a isbeginy<=a xnor b;end;(2)真值表a b y0 0 10 1 01 0 01 1 1(3)波形结论:实验波形与真值表一致,说明程序编写正确。
可编程逻辑器件实验报告册书写内容
可编程逻辑器件实验报告册书写内容1.实验名称 实验一 数据选择器和译码器的设计2.实验目的1、 熟习QUARTUS II 软件的使用;2、 掌握用原理图输入法和硬件描述语言(V erilog HDL )两种方法来设计逻辑电路;3、 通过电路的仿真及验证,进一步了解4选1数据选择器的功能; 3.实验仪器电脑、EDA 软件、实验操作平台、下载电缆、连接导线。
4.实验原理或内容 一、实验原理4选1数据选择器的原理框图及真值表如图1-2及表1-1所示,sel[1:0]可能出现四种组合情况: 00 01 10 11,它分别对应选通四个不同的数据输入a 、b 、c 、d ,从q 端输出。
结合以前所学数字电路的知识,可由真值表得出利用“与非门”实现的逻辑电路,进而可用MAX+PLUS II 原理图输入方法,设计出该4选1数据选择器;如应用EDA 技术所学的Verilog HDL 硬件描述语言来描述该电路功能,即可设计出该4选1数据选择器的源程序。
q Sel[1]输出选择输入0a 01b 00c 11d1Sel[0]表1-1 真值表图1-2 4选1数据选择器的原理框图二、实验内容1、用原理图输入法来设计4选1数据选择器参照按图1-1所示来编辑完成4选1数据选择器的原理图输入设计,其中a 、b 、c 、d 为数据输入端,sel[1]、sel[0]为控制输入端,q 为4选1数据输出端。
存盘仿真后,观察仿真波形,以验证数据选择器的功能。
图1-1 4选1数据选择器原理图2、用V erilog HDL硬件描述语言来设计4选1数据选择器用MAX+plusII中的文本编辑器,编辑输入4选1数据选择器源程序:module mux4_1( a, b, c, d, sel, q);input a,b,c,d;input [1:0]sel;output q; reg q;always @( sel or a or b or c or d)case(sel)2’b00: q=a;2’b01: q=b;2’b10: q=c;2’b11: q=d;endcaseendmodule程序中的a、b、c、d 依然为数据输入端,sel[1]、sel[0]为控制输入端,q为4选1数据输出端。
数字逻辑实验报告-电子科技大学
软件工程专业类课程实验报告课程名称:学院:专业:学生姓名:学号:指导教师:评分:日期:2015年6月9日1 / 20电子科技大学实验报告一、实验名称基本门电路的功能和特性及其组合电路逻辑实验二、实验目的三、实验内容(1)部分TTL门电路逻辑功能验证测试其真值表及其简单组合电路的真值表。
(2)组合逻辑电路设计之全加器或全减器用74LS86(异或)和74LS00(与非)搭出全加器或全减器电路,画出其电路图,并按照其真值表输入不同的逻辑电平信号,观察输出结果和进位/借位电平,记录下来。
四、实验设备和器材(1)数字逻辑试验箱(2)导线若干(3)集成器件:74LS00(与非)74LS04(非)74LS86(异或)五、实验原理(1)组合逻辑电路分析方法(2)组合逻辑电路设计方法A.逻辑抽象分析事件的因果关系,确定输入变量和输出变量。
B.逻辑赋值定义逻辑状态的含义,即以“0”、“1”分别表示输入和输出的不同状态。
C.根据因果关系列出真值表3 / 20D.化简或变换后,得到逻辑函数表达式E.画出逻辑电路图(3)全加器或全减器设计图1-120 图1-2图1-35 /六、实验步骤(1)在实验箱上插入相应的逻辑门电路,并把输入端接实验箱的逻辑开关,输出端接发光二极管,接好电源正负极,即可进行逻辑门特性验证实验,将门的逻辑特性制成表格。
(2)用74LS00连接电路如下图所示,并把输入端接实验箱的逻辑开关,输出端接发光二极管,在MNXY各种输入组合下,观测输出F,并记录下来,写出F=f(M,N,X,Y)的逻辑表达式。
图1-4(3)用74LS86和74LS00搭出全加器或全减器电路,画出其电路图,并按照其真值表输入不同的逻辑电平信号,观察输出结果和进位/借位电平,记录下来。
七、实验记录1、部分TTL门电路逻辑功能验证(1)异或门此实验在实验箱上插好74LS86与非门芯片,连接好电源、地线、两个输入端、一个输出端,最后打开电源即可。
大规模可编程逻辑器件综合实验报告
MAX+PLUSⅡ软件平台的使用练习一、实验目的1、学会使用MAX PLUS II开发工具。
2、掌握原理图和程序代码两种常用的输入方式。
二、实验内容1、以模12计数器为例,学习使用原理图输入的方式进行设计该电路。
具体步骤为:(1)项目建立(2)原理图输入(3)项目编译(4)项目仿真2、以模12计数器为例,用已经给出的程序代码,学习使用程序代码输入的方式进行设计该电路。
具体步骤为:(1)项目建立(2)文本输入(3)项目编译(4)项目仿真三、实验结果1、画出你设计的模12计数器的原理图。
2、画出用原理图设计的模12计数器的仿真波形图。
五、实验小结(手写)4位全加器的设计一、实验目的1、用原理图设计4位全加器。
2、掌握调用系统和自定义元件的方法。
3、掌握用原理图设计电路的方法。
二、实验原理1位全加器可以用两个1位半加器和一个二输入或门组成。
1位半加器的具体设计如下图所示:1位全加器的具体设计如下图所示:4位全加器可看作4个1位全加器串行构成,具体连接方法如下图中所示:三、实验内容1、用VHDL 语言(原理图)设计4位全加器。
2、对设计的4位全加器进行时序仿真。
1A 1B 1S 2A 2B 2S0A 0B 0C 0S 3A 3B 3S3C 位全加器连接示意图位全加器构成由41A B1位半加器逻辑原理图1位全加器逻辑原理图1、画出你设计的4位全加器的顶层原理图。
2、画出你设计的4位全加器的仿真波形图。
五、实验小结(手写)计数器的设计一、实验目的1、熟练掌握原理图设计电路的方法。
2、掌握调用元件图形符号的方法。
3、用原理图方法设计我们所需的各种计数器。
二、实验原理两个二进制(十进制)的可逆计数器串行就可以组成一个0-255(0-99)的可逆计数器。
具体连接方法就是把低位计数器的进位输出送到高位计数器的时钟输入,其它的连接就与这个具体几进制计数器的端口决定。
这是一个最基本的方法,在数字电子课程中已经学过。
对于二进制可逆计数器可用一个74169(十进制可逆计数器74168)得到。
可编程逻辑器件及EDA技术实验报告共16页文档
可编程逻辑器件及EDA技术实验报告一、组合逻辑电路设计数字逻辑电路按照逻辑功能的特点分为两类,一类是组合逻辑电路,简称为组合电路;另一类是时序逻辑电路,简称为时序电路。
组合电路的特点是电路任意时刻输出状态只取决该时刻的输入状态,而与该时刻钱的电路状态无关。
1、逻辑门电路设计实验原理:逻辑门电路包括基本逻辑门电路和符合逻辑门电路。
VHDL语言可以直接支持的逻辑运算符共有七种逻辑运算,它们是:NOT 逻辑非AND 逻辑与NAND 逻辑与非OR 逻辑或NOR 或非XOR 异或XNOR 异或非实验内容:例3-2的参考程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee. std_logic_unsigned.all;entity example3_2 isport(a,b,c,d:in std_logic;f:out std_logic_vector(3 downto 0));end example3_2;architecture behavioral of example3_2 isbeginf(0)<=(a and b)or(b and c)or(not b and not c);f(1)<=(a and b and c)or not(not a or not b or not c);f(2)<=(a xor b xor c)or(not(d)and(a or c));f(3)<=not ((a and b)xor (c and d))or((a and b and d)xor(b and c and d));end behavioral;实验分析:用逻辑运算符是实现了相对较为复杂的逻辑运算。
参考程序中使用括号来强制控制逻辑运算的优先级,对于用VHDL设计,这种写法是必修的。
西电实验报告
西电实验报告西电实验报告实验目的:本次实验的目的是通过实际操作,加深对电路原理和实验仪器的理解,并掌握实验操作的基本技能。
实验器材:1. 示波器2. 信号发生器3. 电压表4. 电流表5. 电阻箱6. 电容器7. 电感器8. 电路板9. 连接线实验一:直流电路的实验实验步骤:1. 将电路板连接好,确保电路连接正确。
2. 调节电流表的量程,使其适应电路中的电流范围。
3. 打开电源,记录电流表和电压表的读数。
4. 通过改变电阻箱的阻值,观察电流和电压的变化。
5. 关闭电源,断开电路连接。
实验结果:通过实验一,我们可以得出以下结论:1. 当电阻值增大时,电流减小;当电阻值减小时,电流增大。
2. 电压与电流成正比,即当电流增大时,电压也随之增大。
实验二:交流电路的实验实验步骤:1. 将电路板连接好,确保电路连接正确。
2. 调节信号发生器的频率和幅度,使其适应实验要求。
3. 打开电源,记录电流表和电压表的读数。
4. 通过改变电容器或电感器的参数,观察电流和电压的变化。
5. 关闭电源,断开电路连接。
实验结果:通过实验二,我们可以得出以下结论:1. 当频率增大时,电流和电压的幅度也随之增大。
2. 电容器的参数对电流和电压的相位差有影响,改变电容器的参数可以改变相位差的大小。
3. 电感器的参数对电流和电压的相位差有影响,改变电感器的参数可以改变相位差的大小。
实验三:滤波电路的实验实验步骤:1. 将电路板连接好,确保电路连接正确。
2. 调节信号发生器的频率和幅度,使其适应实验要求。
3. 打开电源,记录电流表和电压表的读数。
4. 通过改变电容器或电感器的参数,观察电流和电压的变化。
5. 关闭电源,断开电路连接。
实验结果:通过实验三,我们可以得出以下结论:1. 滤波电路可以将输入信号中的高频成分滤除,只保留低频成分。
2. 通过改变电容器或电感器的参数,可以改变滤波电路的截止频率。
实验总结:通过本次实验,我们对直流电路、交流电路和滤波电路有了更深入的了解。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
西安电子科技大学
可编程逻辑器件原理、应用与实验课程实验报告实验名称实验一 ---- 实验五
电子工程学院学院 162班Array姓名陈圆圆学号1602121053
同作者
实验日期 2017 年 4 月8日
2、建立verilog源文件jishuqi。
3、综合,编译。
4、进行管脚分配,在此实验中,采用按键19开关为加、减法的选择,拨码开关55为是否有进位及借位,LED46为进位的显示,LED39、40、43、44分别为输出结果的显示。
5、程序的烧写。
四、在Diamond主界面中,进入Tools->Programmer,点击OK键,然后点击图标
,在State栏出现PASS,即说明烧写成功。
四、实验数据记录(或仿真及软件设计)
module jishuqi (cbin,sum,cout,sel); //cbin表示进位或借位,sel表示选择加减法
input cbin;//定义进位或借位
input sel;
output sum,cout;
reg [3:0] sum;//寄存器长度设置
reg cout;
parameter a = 4'b1010, b = 4'b0110;//计算参量的设置
always@(sel)
begin
if(sel) //sel为1进行加法运算,否则进行减法运算
{cout,sum}=a + b + cbin;
else
{cout,sum}=a - b - cbin;//减法器
end
endmodule
五、实验结果分析及回答问题(或测试环境及测试结果)
加、减法的验证:
当不按按键19时,二极管37、44亮,39、40、43灭,即显示数字为01110验证为加法运算,结果正确。
当按下按键19时,二极管37、39、43、44亮,40灭,
5.程序的烧写。
在Diamond主界面中,进入Tools->Programmer,点击OK键,然后点击图标,在State栏出现PASS,即说明烧写成功。
三、实验数据记录(或仿真及软件设计)
module seqdet(x,z,clk,rst);
input x,clk,rst;
output z;
wire z;
reg [2:0] state;
parameter IDLE=3'b000,
s0=3'b001,
s1=3'b010,
s2=3'b011,
s3=3'b100;
assign z=(state==s3&&x==1)?1:0;
always @(posedge clk)
begin
if(!rst)
state<=IDLE;
else
case(state)
IDLE: begin
if(x==0)
state<=IDLE;
else
state<=s0;
end
s0: begin
if(x==0)
界面Process框中,对Map Design,Place&Route Design,Export Files选中所有的选项,然后右键选中JEDEC File,单击其下拉菜单中的Rerun All;
管脚分配:clr:54 clk:53 input[1]:52 input[0]:50
output[3]:46 output[1]:45 output[1]:44 output[0]:43 6. 烧写程序
在Diamond主界面中,进入Tools->Programmer,点击OK键,然后点击图标,在State栏出现PASS,即说明烧写成功。
四、实验数据记录(或仿真及软件设计)
module shiyan5(clk,clr,s,cout);
input clk,clr;
input[1:0] s;
output[3:0] cout;
reg[3:0] cout;
always @(posedge clk)
begin
if(!clr) cout<=0;
else
case(s)
2'b00: if(cout>=4'b1000) cout<=0;
else cout<=cout+1;
2'b01: if(cout>=4'b1010) cout<=0;
else cout<=cout+1;
2'b10: if(cout>=4'b1100) cout<=0;
else cout<=cout+1;
2'b11: if(cout>=4'b1110) cout<=0;
else cout<=cout+1;
default: cout<=4'bxxxx;
endcase
end
endmodule
五、实验结果分析
同时按下52和50键,表示s=00,即进行模9运算,刚开始时,output[3]、output[2]、output[1]、output[0]为0000,按下、松开clk键多次,output[3]、output[2]output[1]output[0]0001,0010,0011,0100,0101,0110,0111,1000,000
clk:21 res:19 s_w:53
lucheng [0]:46 lucheng [1]:45 lucheng [2]:44 lucheng [3]:43
money [0]:40 money [1]:39 money [2]:38 money [3]:37
6. 烧写程序
在Diamond主界面中,进入Tools->Programmer,点击OK键,然后点击图标,在State栏出现PASS,即说明烧写成功。
四、数据记录
module chuzuche(clk,res,s_w,lucheng,money);
input clk,res,s_w; //s_w按下后才开始计费
output[3:0] lucheng; //
output[3:0] money;
reg[3:0] lucheng;
reg[3:0] money;
reg[28:0] count1;//计数器1
initial
begin
count1 = 0;
lucheng=4'b0000;
money=4'b0010;
end
always@(posedge clk)
begin
if(!s_w)//开关按下
begin
count1 = count1 + 1'b1;
if(count1 == 28'd5*******)//里程计数
begin
lucheng = lucheng +4'b0001;
if(lucheng > 4'b00011)//超出起步里数。