八路循环彩灯的设计方案
八路彩灯循环显示
电子课程设计——8路彩灯显示目录一、设计任务与要求——————————————3二、总体框图—————————————————3三、选择器件—————————————————4四、功能模块—————————————————5五、总体设计电路———————————————7六、总结———————————————————9参考文献—————————————————9八路彩灯设计一、设计任务与要求控制8个LED进行花色显示,设计五种模式:LED从左到右依次闪烁;LED 从右到左依次闪烁;LED从中间到两边依次闪烁;LED奇数指示灯依次闪烁;LED偶数指示依次闪烁。
五种模式循环切换,复位键(CLR)控制系统的运行与停止。
二、总体框图方案一:图(1)方案一框图时钟输入:给分频电路时钟脉冲;计数器:控制五种循环模式的转换;移位寄存器:通过移位实现每种模式的所有状态;LED显示:彩灯演示;清零输入:CLR键,实现复位功能。
方案二;图(2)方案二框图时钟输入:给分频电路时钟脉冲;控制电路:状态机,当时钟输入给状态机一个脉冲输入后,状态机就会自动进行第一种循环,当第一种循环结束后,状态机会自动进行下一个循环,依次类推,当第五种循环模式结束后,状态机就会自动转换到第一种模式,这样一直循环下去。
LED显示:彩灯演示;清零输入:CLR键,实现复位功能;方案选择:方案二;选择理由:结构简单,易于实现。
三、选择器件1、Quartus II 6.0 软件;2、FPGA适配器实验板:标准配置是EP1C12Q240C8;3、下载接口是数字芯片的下载接口(JTAG),下载形式为USB-Blaster,主要用于FPGA芯片的数据下载;4、时钟源;5、电源、八个LED灯。
四、功能模块1、LED(状态机)模块图(3)LED(状态机)模块LED模块由两个主控进程控制,时序进程和辅助进程组成,各进程分工明确。
在完整的循环过程中,状态机中最先启动的是以CP为敏感信号的时序进程,当时序进程被启动后,按顺序进行五种循环模式,当CLR接收到一个低电平脉冲时,循环将自动恢复到第一种循环模式,继而进行循环.2、LED模块VHDL程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cdxs isport(led : out std_logic_vector(7 downto 0);vga: out std_logic_vector(3 downto 0); ---控制小灯亮暗cp ,clr : in std_logic;ledd: out std_logic); ---20MHZ clock signalend cdxs;architecture one of cdxs is--signal fre :STD_LOGIC;signal s :std_logic_vector(5 downto 0); ---6花色signal s1 :std_logic_vector(7 downto 0); ---赋值给8个小灯beginvga<="0001";p1:process(cp,clr)beginif clr='1' thens<= "000000";ledd<=s(1);elsif cp'event and cp='1' thenif s<="100010" then s<=s+1;elses<="000000";end if;end if;ledd<=s(1);if s= "000000" then s1<="10000000";elsif s= "000001" then s1<="01000000";elsif s= "000010" then s1<="00100000";elsif s= "000011" then s1<="00010000";elsif s= "000100" then s1<="00001000";elsif s= "000101" then s1<="00000100";elsif s= "000110" then s1<="00000010";elsif s= "000111" then s1<="00000001";elsif s= "001000" then s1<="00000010";elsif s= "001001" then s1<="00000100";elsif s= "001010" then s1<="00001000";elsif s= "001011" then s1<="00010000";elsif s= "001100" then s1<="00100000";elsif s= "001101" then s1<="01000000";elsif s= "001110" then s1<="10000000";elsif s= "001111" then s1<="00011000";elsif s= "010000" then s1<="00100100";elsif s= "010001" then s1<="01000010";elsif s= "010010" then s1<="10000001";elsif s= "010011" then s1<="10000000";elsif s= "010100" then s1<="00100000";elsif s= "010101" then s1<="00001000";elsif s= "010110" then s1<="00000010";elsif s= "010111" then s1<="01000000";elsif s= "011000" then s1<="00010000";elsif s= "011001" then s1<="00000100";elsif s= "011010" then s1<="00000001";elsif s= "100000" then s1<="11111111";else s1<="00000000";end if;led<=not s1;3、仿真结果图(4)时序仿真图仿真分析:有仿真结果可以看出,在清零端 CLR输入无效时,在时钟脉冲的作用下,LED[7..0]的状态分别为011111111,10111111,11011111,11101111,11110111,11111011,11111101,11111110。
八路循环彩灯电路原理图
工作原理
该电路先由光敏电阻、继电器、9014三极管组成光控制电路,电路的光敏电阻受到光的照射下,光敏电阻呈低阻状态,使9014三极管的基极电位降低,处于截止状态,继电器K不吸合,灯不亮;当光敏电阻不受到光照条件,光敏电阻的阻值逐渐变大,9014三极管的基极电位上升,当上升到一定程度后,9014三极管导通,继电器K吸合,电路有输出,灯亮。
再由555定时器、74LS90计数器、74LS138译码器组成八路彩灯循环电路(如图2)。
74LS90计数器的时钟
由555震荡电路提供,改变555的震荡频率可改变计数器的计数快慢,即可控制彩灯的闪烁快慢,计数器输出信号输入至138译码器,由138译码,根据计数器输出不同的计数结果,即可控制138译码器译码得到8种不同的输出信号,决定控制彩灯的循环变化。
显然,不同的计数器与译码器电路,得到的是不同的彩灯循环控制结果。
若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。
八路彩灯课程设计八路彩灯控制器的设计
八路彩灯课程设计--八路彩灯控制器的设计课程设计报告课程: 数字电路题目:八路彩灯控制器的设计院系: 物理与电子信息学院专业: 2011级电子信息科学与技术学号: 20111302031姓名: 李俊完成日期: 2008 年 6 月 22 日摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。
整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。
关键词:控制、循环、555定时电路一、设计内容与设计要求1.设计目的⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。
⑵掌握计数、译码、显示综合电路的设计与调试方法。
⑶掌握实际输出电路不同要求的实现方法。
2.设计任务八路彩示系统,该系统实现以下功能:⑴八路彩灯从左向右逐次渐亮,间隔为1秒。
⑵八路彩灯从右向左逐次渐灭,间隔为1秒。
⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。
3.设计要求⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。
⑵选择元器件型号。
⑶画出总逻辑图和装配图,并在实验板上组装电路。
⑷进行电路调试,使其达到设计要求。
⑸写出总结报告。
4.参考器件555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。
二、总体设计思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。
时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。
三、总体设计原理1.基本原理由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR '控制清零。
第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。
八路循环彩灯电路设计
八路循环彩灯电路设计目录1引言 (1)2 系统组成及工作原理 (1)2.1 基础设计目的 (1)2.2 基础设计要求 (1)2.3 总体设计思路 (2)2.4 电路框图 (2)2.5 PCB板布线 (2)2.5.1 布局规则 (2)2.5.2 布线规则 (2)3 循环彩灯的系统组成 (3)3.1 555定时器电路 (3)3.1.1 定时器电路产生时钟脉冲 (3)3.1.2 555定时器组成的多谐振荡电路 (4)3.2 74F161A及74LS194的功能 (5)3.2.1 74F161A四位二进制同步计数器 (5)3.2.2 74LS194四位双向移位寄存器 (6)3.3 设计彩灯控制电路 (7)3.4 电路图的仿真 (7)3.5 PCB板布线与制做方案的实施 (8)3.5.1 PCB板布线 (8)3.5.2 PCB板制作 (8)4 循环电路的总体设计 (8)4.1 循环彩灯原理图 (8)4.2循环彩灯仿真图 (9)4.3 循环彩灯PCB图 (10)4.4循环彩灯装配图 (10)4.5 循环彩灯实物图 (11)5 实验结果的调试与检验 (12)5.1 调试技巧方法 (12)5.2 调试中出现的原因、故障及排除的方法 (12)6 总结与设计结论 (12)6.1 总体结果 (12)6.2 设计结论 (13)6.3 收获 (13)参考文献 (16)致谢..................................................... 错误!未定义书签。
附录表 (16)1引言随着“数字化”的浪潮席卷几乎一切领域。
由于电子产品更新的快,新产品开发速度日新月异,所以对电子自动化(EDA)的设计提出了更高的要求,促进了数字化的飞速发展。
数字电路的设计过程和方法也在不断的发展和完善。
通过这次毕业设计主要是:以Protel软件为设计平台,了解基本的数字电路知识,学习基本的集成元件的连接方法,掌握电子设计的基础知识,并且运用这些知识设计循环彩灯电路。
8个LED灯循环闪烁课程设计
摘要“微机原理与接口技术”是高等学校电子信息工程、通信工程、自动化、电气工程及其自动化等工科电气与电子信息类各专业的核心课程。
该课程以INTER 8086微处理器和IBM PC系列微机为主要对象,系统。
深入地介绍了微型计算机的基本组成、工作原理、接口技术及应用,把微机系统开发过程中用到的硬件技术和软件技术有机地结合起来。
本文详述了8个LED灯循环闪烁的课程设计。
设置8个LED灯,首先是1、3、5、7号LED依次亮1秒钟,当7号LED亮后,这四个灯同时闪烁5下。
然后是2、4、6、8号LED 依次亮1秒钟,当8号LED亮后,这四个灯同时闪烁5下。
本课程设计,由于自身能力和学习水平有限,可能存在一定的错误和不当之处,敬请批评和指正。
一、设计目的1.巩固和加深课堂所学知识;熟悉各种指令的应用及条件;2.学习掌握一般的软硬件的设计方法和查阅、运用资料的能力;3.进一步了解8255A各引脚的功能, 8255A和系统总线之间的连接, 8255A和CPU 之间的数据交换,以及8255A的内部逻辑结构。
深入掌握8255A显示电路的基本功能及编程方法,8255等芯片的工作方式、作用。
4.培养和锻炼在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力。
通过课程设计,要求熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,得到微机开发应用方面的初步训练。
同时并了解综合问题的程序设计掌握实时处理程序的编制和调试方法,掌握一般的设计步骤和流程,使我们以后搞设计时逻辑更加清晰。
二、设计内容根据所学内容和对8255A的应用,整个系统硬件采用8086微处理器和8255A可编程并行接口芯片和8个LED等连成硬件电路。
设计8个LED灯,实现如下要求:首先是1、3、5、7号LED依次亮1秒钟,当7号LED亮后,这四个灯同时闪烁5下。
然后是2、4、6、8号LED依次亮1秒钟,当8号LED亮后,这四个灯同时闪烁5下。
三、设计要求使用8255A可编程接口芯片实现8个LED灯以十种不同的方式显示。
八路循环彩灯的设计方案
八路循环彩灯的设计方案-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN目录摘要 (2)第一章系统组成及工作原理 (3)总体设计思路 (3)基本原理 (3)电路框图 (3)第二章循环发光器的系统组成 (4)555定时电路产生时钟脉冲 (5)移位寄存器 (6)方案二 74LS138及192的功能 (7)第三章循环电路的总体设计 (8)74LS194组成的电路 (9)74LS138及74LS192组成的电路 (11)第四章实验结果的调试及检测 (13)调试使用的主要仪器 (15)调试技巧的方法 (15)调试中出现的故障、原因及排除方法 (15)第五章总结 (17)第六章附录 (18)附录一 (18)附录二 (18)附录三 (19)摘要本次循环控制彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。
整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。
本次主要为全亮全灭,及左右移动的功能。
关键词:控制、循环、555定时电路彩灯循环控制电路的设计与制作第一章系统组成及工作原理总体设计思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯左右移,及全灭全亮功能输出电路。
时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,循环控制电路采用74LS194实现。
方案二中,主要是采用二进制译码器74LS138及中规模集成电路74LS192实现彩灯的循环控制。
基本原理本次实验主要是通过两片双向移位寄存器74LS194来实现彩灯电路的循环控制,通过555定时电路来产生连续时钟脉冲进行信号的输入,由外围开关控制信号的移动方向,实现左移,右移,及全灭全亮功能。
框图图1-1 设计框图第二章循环发光器的系统组成555定时电路产生时钟脉冲555集成时基电路是一种数字、模拟混合型的中规模集成电路,可连接成多谐振荡电路,产生单位脉冲,用于触发计数器。
8路循环彩灯设计控制电路
数字电子技术课程综合设计题目:8路循环彩灯控制的电路姓名:学号:层次:专业:班级:指导教师:2021年5月独创性申明一.本论文是本人独立完成;二.本论文没有任何抄袭行为;三.若有不实,一经查出,请答辩委员会取消本人答辩资格。
摘要:设计一个8路循环彩灯电路,要求该电路能够实现8路彩灯能够依次点亮,然后在一起点亮,且每次不同的点亮状态要持续两秒,且能够循环上诉的效果。
该电路主要是由555定时器与一些分立元器件构成多谐振荡器,74LS163同步计数器,74LS138译码器,LED等组成的,由多谐振荡器提供周期为一秒的脉冲方波信号,然后经过74LS163同步计数器进行二分频,使其周期变为两秒,在经过74ls138译码器的译码,从而完成8路彩灯循环电路设计的要求,电路在经过ISIS的仿真测试能够有效的依次点亮8路彩灯,最后在全部点亮的功能,从而完成课设要求。
关键词:多谐振荡器;74LS163同步计数器;74LS138译码器;Abstract:Design an8-way cycle lamp circuit,the circuit is required to achieve8-way lights can be lit in turn,and then lit together,and each time the different lighting state to last two seconds,and can cycle the effect of appeal.The circuit is mainly composed of555 timer and some discrete components,such as multivibrator,74ls163synchronous counter, 74LS138decoder,led,etc.the multivibrator provides a pulse square wave signal with a period of one second,and then the74ls163synchronous counter bisects the frequency to make its period become two seconds,In order to complete the design requirements of 8-way color lamp cycle circuit,the circuit can effectively light up8-way color lamp in turn after Isis simulation test,and finally in the full lighting function,so as to complete the course design requirements.目录前言1电路整体方案设计 (2)1.1电路设计要求 (4)1.2电路设计方案 (4)1.3出现的问题及解决方案 (5)2电路结构分析 (5)2.1多谐振荡器 (5)2.2计数器 (6)2.3译码器 (5)2.4电路仿真图 (5)3结论 (9)参考文献 (10)致谢 (8)前言本课题主要研究的是如何实现8路彩灯依次点亮,最后在全部点亮的循环过程,要实现课设的基本功能需要了解掌握计数器,译码器的等芯片功能;是要选取555定时器和一些分立元器件组成的多谐振荡器,73LS163同步计数器,74LS138译码器的功能及应用。
8路彩灯控制器设计详细讲解(附图)
8路彩灯控制器设计详细讲解(附图)学校:北华大学班级:信息 11-2姓名:王杰学号:28号指导教师:曲萍萍实验日期:2013.5.20—5.24一、软件内容二、实习目的和任务三、设计原理过程四、最终设计方案五、实习心得六、参考文献一、软件内容MAX-Plus?开发软件是美国Altera公司自行设计的第三代课编程逻辑器件的EDA开发工具。
它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera公司的MAX、Classic、FLEX以及ACEX系列的PLD器件。
MAX-Plus?开发软件丰富的图形界面和完整的、可即时访问的在线帮助文档,使设计人员能够轻松愉快地学习和掌握MAX-Plus?开发软件,方便地实现设计目的。
MAX-Plus?的特点:1、支持多种操作平台;2、提公开发性的界面;3、提供与PLD 器件结构无关的设计环境;4、提供丰富设计库;5、支持多种输入方式;6、具有高度集成化的开发环境;7、具有模块化的设计工具;8、提供Megacore功能;9、具有Opencore特性MAX-Plus?的主要功能:1、支持PLD器件;2、支持的设计输入方式;3、提供设计编译;4、提供设计验证;5、提供器件的编程和配置MAX-Plus?的设计流程:1、设计输入;2、设计检查;3、设计便衣;4、设计仿真;5、器件编程;6、测试验证;7、修改设计。
二、实习目的和任务实习目的:1、进一步掌握数字电路课程所学的理论知识。
2、熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3、了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4、培养认真严谨的工作作风和实事求是的工作态度。
5、数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。
实习任务:设计一个能实现8路彩灯循环显示的彩灯控制器。
具体要求如下:1、8路彩灯的循环花型如下表所示;2、节拍变化的时间为0.5s和0.25s,两种节拍交替运行;3、三种花型要求自动循环显示移存器输出状态编码表节拍序号花型1 花型2 花型3 1 00000000 00000000 00000000 2 10000000 00011000 10001000 3 11000000 00111100 11001100 4 11100000 01111110 11101110 5 11110000 11111111 11111111 6 11111000 11100111 01110111 7 11111100 11000011 00110011 8 11111110 10000001 00010001 9 11111111 10 11111110 11 11111100 12 1111100013 1111000014 1110000015 1100000016 10000000三、设计原理过程(1)总体方案的设计; 在方案一的基础上将整体电路分为四块。
课程设计八路彩灯
信号 发生 器
分
节拍
节拍
彩灯
频
控制
执行
显示
电
电路
电路
电路
路
方案二方框图
方案三:本方案是由 555 组成的多谐振荡器作为信号的脉冲发生器,74161 计数器 第2页
西华大学课程设计说明书
和 74153 双向数据选择器组成的序列信号发生器以及移位输出显示电路所共同组成。 555 多谐振荡器组成的信号脉冲源作为 74161 计数器、74194 移位寄存器的 clk 连续脉 冲信号。通过改变电阻、电容的大小,可以改变 74161 计数器的计数快慢程度,74161 的输出端:Q0Q1 作为 74153 的 S0S1,Q2 控制 74153 的两个使能端。74153 的两个输出 端连接一个二输入的与非门,它的输出通过连接两个 SWDIP-4 接入 74194 的数据输入 端,74194 的两个芯片的 S1S0 通过 SWDIP-2 开关接电源以及电阻到地。改变 SWDIP-2 的通断状态,可以实现预置数,右移、左移的功能。
第1页
西华大学课程设计说明书
2.总体方案设计
2.1 Байду номын сангаас案比较
方案一:本方案主要由 555 多谐振荡器,两片 74LS194 移位寄存器,两片 74LS161 同步计数器等组成。 55 多谐振荡器组成的信号脉冲源作为 74LS161 计数器、74LS194 移位寄存器的 CLK 连续脉冲信号。通过改变电阻、电容的大小,可以改变 74LS161 计 数器的计数快慢程度,74LS161 计数器充当控制电路的核心器件,控制节拍和分频。使 用两片 74LS194 构成了 8 位双向移位寄存器,用 74LS194 移位寄存器直接驱动发光二 极管工作。
8路循环彩灯课程设计
8路循环彩灯课程设计一、课程目标知识目标:1. 学生能理解并掌握8路循环彩灯的基本电路原理和编程方法。
2. 学生能够运用所学知识,设计并实现具有创意的8路循环彩灯效果。
3. 学生了解彩灯在现实生活中的应用,如装饰、广告等,并理解其背后的技术原理。
技能目标:1. 学生能够独立完成8路循环彩灯电路的搭建和调试。
2. 学生能够运用编程软件编写并优化8路循环彩灯的程序,实现不同灯光效果。
3. 学生具备团队协作能力,共同完成课程任务,并能够进行有效的沟通与交流。
情感态度价值观目标:1. 学生培养对电子技术和编程的兴趣,激发学习热情,形成自主学习的能力。
2. 学生通过动手实践,体验创新与创造的乐趣,增强自信心和成就感。
3. 学生能够关注生活中的技术应用,认识到科技对生活的改变,培养社会责任感和创新精神。
分析课程性质、学生特点和教学要求:本课程为电子技术和编程实践课程,适用于八年级学生。
学生在前期的学习过程中,已经掌握了基本的电路原理和编程知识。
本课程旨在巩固和提高学生的实践操作能力,培养学生的创新思维和团队协作能力。
课程目标具体、可衡量,便于教学设计和评估。
通过本课程的学习,学生能够将理论知识与实践相结合,达到预期的学习成果。
同时,课程注重培养学生的情感态度价值观,使学生在学习过程中形成积极的学习态度和价值观。
二、教学内容1. 电路原理回顾:电阻、电容、二极管等基础元件的作用;电路图识读及分析。
教材章节:第二章《基本电路元件》2. 8路循环彩灯电路设计:介绍8路循环彩灯电路的组成、工作原理及搭建方法。
教材章节:第三章《数字电路基础》3. 编程软件操作:学习并掌握编程软件的使用,编写8路循环彩灯控制程序。
教材章节:第五章《编程基础与技巧》4. 程序优化与调试:对编写好的程序进行优化和调试,实现不同的灯光效果。
教材章节:第六章《程序调试与优化》5. 创意彩灯设计:运用所学知识,进行创意彩灯设计,实现个性化彩灯效果。
8路循环彩灯课程设计
8路循环彩灯课程设计一、课程目标知识目标:1. 学生理解并掌握8路循环彩灯的基本原理,包括电路组成、循环控制方式等;2. 学生能够运用所学知识,设计并搭建简单的8路循环彩灯电路;3. 学生了解并掌握8路循环彩灯程序编写的基本方法,能够实现基本的灯光控制效果。
技能目标:1. 学生培养动手操作能力,能够独立完成电路搭建和程序编写;2. 学生提高问题解决能力,能够分析并解决8路循环彩灯在运行过程中遇到的问题;3. 学生培养团队协作能力,能够在小组合作中发挥积极作用,共同完成项目任务。
情感态度价值观目标:1. 学生培养对电子制作的兴趣和热情,激发创新意识;2. 学生树立安全意识,遵循实验操作规程,确保实验过程安全;3. 学生培养环保意识,注重废弃物的分类处理和回收利用;4. 学生通过小组合作,培养沟通、分享和尊重他人的品质。
课程性质:本课程属于电子制作实践课程,注重理论联系实际,提高学生的动手能力和创新能力。
学生特点:本课程针对的学生群体为具有一定电子知识基础和编程能力的学生,他们对新鲜事物充满好奇,喜欢动手实践。
教学要求:教师在教学过程中应注重启发式教学,引导学生主动探索、思考问题,同时关注学生的个别差异,给予个性化指导。
在教学评估中,关注学生的知识掌握、技能提升和情感态度价值观的培养。
通过分解课程目标为具体学习成果,为后续教学设计和评估提供依据。
,正文直接输出以下内容:一、课程目标知识目标:1. 掌握8路循环彩灯的基本电路原理及电路搭建方法;2. 学习并理解循环控制程序编写技巧,实现8路彩灯的循环点亮与熄灭;3. 了解电子元件的功能及其在8路循环彩灯中的应用。
技能目标:1. 能够独立完成8路循环彩灯的电路搭建和程序编写;2. 学会使用调试工具,解决电路及程序中可能出现的问题;3. 培养团队合作能力,与小组成员共同完成项目任务。
情感态度价值观目标:1. 培养对电子制作的兴趣,激发创新思维和动手实践欲望;2. 树立安全意识,遵守实验操作规程,确保实验过程安全可靠;3. 增强环保意识,养成废弃物品分类处理的好习惯;4. 学会沟通与分享,尊重他人意见,培养团队协作精神。
八路流水灯循环点亮
黄山学院专业姓名班级学号指导老师八路循环彩灯控制电路一、 设计要求(1)设计一组8路循环彩灯控制电路,发光二极管的亮度要明显可见。
(2)、点亮要有一定的规律,即按顺序点亮。
(3)、元件、芯片的摆放要合理。
(4)、布线要紧密、尽量短(5)用protuse 仿真,1 题目分析:八路彩灯循环点亮电路功能描述:八只彩灯按顺序轮流点亮,首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏灯依次流向第八盏灯,然后又点亮第一盏灯,反复循环这一过程。
2 整体构思:彩灯的控制是用数字集成电路的构成来实现的,用彩灯(LED 发光二极管)构成一个发光矩阵。
主要用计数器和译码器等来实现,其特点是用发光二极管显示,构成具有循环功能的彩灯控制电路。
将振荡器的振荡脉冲进行计数,计数器的输出作为译码器的地址输入,经译码器控制各路彩灯依次发亮。
用框图表示如下。
3 具体实现: (1)、根据题目要求,找到可能完成此功能的芯片。
一共有八只灯所以要用74LS138 3线-8线译码器74LS161十进制上升沿计数器。
(2)、确定芯片后,完成电路图。
(3)、根据题目要求,要实现八只灯按顺序点亮电路就要用到74LS138 3线-8线译码器和74LS161具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器。
以及发光二极管、电阻、导线、电平开关和万用版。
(4)、按照电路图连接线路,检查是否连接正确 。
(5)、检查功能实现,如果不能进行调试、修改。
二、单元电路设计参考1、振荡器设计:用集成555电路构成多谐振荡器。
电路如下图所示。
振荡器计数器 译码器 8路彩灯 → → →U 0的周期: 2、计数器的选用:选四位二进制同步加/减计数器74LS161。
其引脚功能图如下所示。
其真值表如下:)C 2R 0.7(R T 21+=三、设计原理电路四、材料清单:五、protuse仿真部分截图图:六、心得体会:实验之前必须认真阅读有关方面的知识,在心中要有一个大概的模型或过程。
8路旋转彩灯课程设计
8路旋转彩灯课程设计一、课程目标知识目标:1. 学生能理解并掌握8路旋转彩灯的基本电路原理和电路连接方式。
2. 学生能运用所学的电子元件知识,识别并使用8路旋转彩灯所需的电阻、电容、二极管等电子元件。
3. 学生能了解并描述8路旋转彩灯的程序设计原理,包括循环、延时等基本编程概念。
技能目标:1. 学生能够独立完成8路旋转彩灯电路的搭建和调试。
2. 学生能够编写简单的程序,实现8路旋转彩灯的不同灯光效果。
3. 学生通过实践操作,提高动手能力和问题解决能力。
情感态度价值观目标:1. 学生在课程学习中,培养对电子技术和编程的兴趣,激发创新意识和探索精神。
2. 学生通过团队合作完成项目,培养团队协作能力和沟通能力,增强集体荣誉感。
3. 学生能够认识到科技与生活的紧密联系,增强学以致用的意识,培养环保和节能的观念。
课程性质:本课程为电子技术与编程实践课程,结合学生特点,注重实践操作和团队合作。
学生特点:六年级学生,具备一定的电子元件知识和编程基础,好奇心强,喜欢动手操作。
教学要求:课程设计需注重理论与实践相结合,强调学生的动手实践能力和创新能力培养。
教学过程中,教师应引导学生主动探究,鼓励学生提问和解决问题。
通过课程学习,使学生能够将所学知识应用于实际项目中,达到学以致用的目的。
二、教学内容本课程依据课程目标,结合教材内容,组织以下教学大纲:1. 电子元件知识回顾:复习电阻、电容、二极管等基础电子元件的原理与功能,对应教材第3章。
2. 8路旋转彩灯电路原理:学习8路旋转彩灯的电路设计,分析电路中各元件的作用,对应教材第4章。
3. 电路搭建与调试:指导学生进行8路旋转彩灯电路的搭建,并进行调试,确保电路正常运行,对应教材第5章。
4. 程序设计原理:学习循环、延时等基本编程概念,分析8路旋转彩灯的程序设计,对应教材第6章。
5. 编程实践:指导学生编写程序,实现8路旋转彩灯的不同灯光效果,对应教材第7章。
6. 创新设计与展示:鼓励学生发挥创意,设计独特的8路旋转彩灯效果,并进行团队展示,对应教材第8章。
八路循环彩灯控制电路设计
课程设计报告书试验大致思路如下:3.器件管脚分配图:图1(4017管脚分配图)CD4017是十进制计数器,它包含译码器。
计数器在时钟禁止输入为低电平时,在时钟脉冲上升沿进位。
在时钟禁止输入为高电平时,时钟被禁止。
复位输入为高电平时,时钟输入独立运行。
该芯片是一个十进制分配器,只要在其脉冲信号输入端接入脉冲信号,每来一个脉冲信号时,该芯片就会从Q0~~Q9~~Q0循环发出高电平,并且能够保持这个脉冲信号没有结束时,一直是高电平。
由此可知,该芯片能够运用于控制端或者是用于循环彩灯等等方面的应用。
引出端功能符号CO:进位脉冲输渊CP:时钟输入端CR:清除端INH:禁止端Q0-Q9 计数脉冲输出端VDD:正电源VSS:地真值表输入输出CP INH CR Q0-Q9 CO× × H Q0↑L LH ↓L计数计数脉冲为Q0-Q4时:CO=HL × L× H L↓× L×↑L保持计数脉冲为Q5-Q9时:CO=L图2(4069管脚分配图)CD4069又称为六反向器,广泛运用于各种电路设计中。
当Vcc=5~10V时,C110uFU1A 4069BCL_5V U2B 4069BCL_5V R210kΩR1200kΩ1234图4图4为电路中的一部分,是用来产生时钟脉冲的多谐振荡器,它仿真图如下图5整个电路的仿真图如下;。
八路流动彩灯课程设计
八路流动彩灯课程设计一、教学目标本课程旨在通过八路流动彩灯的制作,让学生掌握基础电子电路知识,培养学生的动手能力和创新思维。
知识目标要求学生了解彩灯的基本原理,掌握电路图的阅读和绘制;技能目标要求学生能够独立完成彩灯制作,并进行调试和优化;情感态度价值观目标则是培养学生对科学的热爱,提高他们的团队合作意识和解决问题的能力。
二、教学内容教学内容主要包括彩灯的工作原理、电路图的阅读和绘制、八路彩灯的制作和调试。
具体涉及以下几个方面:1. 彩灯的基本原理和工作方式;2. 电路元件的功能和应用;3. 电路图的符号和绘制方法;4. 八路彩灯的接线方式和编程方法;5. 彩灯的调试和优化技巧。
三、教学方法为了激发学生的学习兴趣和主动性,本课程将采用讲授法、讨论法、案例分析法和实验法等多种教学方法。
1. 讲授法:通过讲解彩灯的工作原理和相关知识,使学生掌握基本概念;2. 讨论法:分组讨论彩灯制作过程中遇到的问题,培养学生的团队协作能力;3. 案例分析法:分析经典彩灯案例,让学生了解不同的设计和制作方法;4. 实验法:动手制作八路彩灯,提高学生的实践操作能力。
四、教学资源教学资源包括教材、参考书、多媒体资料和实验设备。
1. 教材:选用《电子电路基础》作为主教材,辅助以相关参考书籍;2. 多媒体资料:制作课件和教学视频,为学生提供直观的学习资源;3. 实验设备:准备八路彩灯实验套件,让学生动手实践;4. 工具和器材:提供电路图绘制工具、焊接设备等实验器材。
五、教学评估本课程的评估方式包括平时表现、作业和考试三个部分,各占百分比分别为40%、30%和30%。
平时表现主要考察学生的课堂参与度、提问回答和团队协作等情况;作业分为课堂练习和课后作业,要求学生在规定时间内完成,以巩固所学知识;考试分为期中考试和期末考试,内容涵盖课程所有知识点,以检验学生的掌握程度。
评估方式客观、公正,能够全面反映学生的学习成果。
六、教学安排本课程共计16课时,安排在每周的单数课时进行,教学时间为45分钟。
八路循环彩灯控制电路设计
八路循环彩灯控制电路设计项目项目名称:八路循环彩灯控制电路设计指导老师:姓名:学号:班级:课题名称:8路循环彩灯控制电路1)设计要求设计一组8路循环彩灯控制电路,要求每路灯循环亮2秒。
2)设计方案将振荡器的振荡脉冲进行计数,计数器的输出作为译码器的地址输入,经译码器控制各路彩灯依次发亮a用框冬衣?5如下af振荡器| 一 |计数器|二译码器|〜氏路彩灯f3)单元电路设计参考1、振荡器设计用集成555电路构成多谐振荡器。
电路如下图所示。
若选用四位二进制计数器,要达到设计要求,振荡周期T应为比c COQ 3 CTr LD 1秒。
7 = 0・7(尺十2毘疋若取R 尸R 2 =R ,则T^2RCo当取OlOOnF 时,R T/ (20 =0.5/C=5K Q一般电路中,取Ci=0.01uF5)计数器的选用选四位二进制计数器74LS161.其引脚功能如下图 所示°ii51Ji4l [13! [121 [l lHlO' £9174LS161/CC40161AJUJ L3J L4J [5J 16] L7J L3JCR CP D o Di 02 03 CT P GNDQ o -Q 2作输岀,Qs 不用。
使输岀数据的频率为输入 时钟频率的二分频,周期为2秒。
6)译码器的选用选四位二进制计数器74LS161.其引脚功能如下图所示。
比c CO Q Q Q I Q2 Q3 CTr LD|?6! [151 114| |13! fT5LFH] [10] TL74LS161/CC40161L1JL2JL3JL4JL^L^L7JL8JCR CP D o D1 D2 D3 CTp GNDQo〜作输出,Qs不用。
使输出数据的频率为输入时钟频率的二分频,周期为2秒。
7) 彩灯的选用这里选用发光二极管做彩灯。
由于电源电压为5V,每次只亮一路灯,限流电阻R3= (UCC-VD) /ID,当R3取470时,ID约为7mA。
八路彩灯循环显示控制电路课程设计
说明书目录1前言 (1)1.1序言 (1)1.2目前彩灯的应用情况 (1)1.3主要工作概述 (2)2 总体方案设计 (3)2.1方案比较 (3)2.2方案论证 (4)2.3方案选择 (4)3.单元模块电路设计 (5)3.1时钟信号发生器 (5)3.2 序列信号发生器 (7)3.3 移位输出显示电路 (13)4软件设计 (16)4.1Proteus仿真软件 (16)4.2 Altium designer软件 (16)4.3软件的设计结构 (18)5系统调试 (19)5.1脉冲信号发生器的调试 (19)5.2序列信号发生器和以为输出显示电路调试 (20)5.3整体电路的调试 (20)5.4系统实现的功能 (21)6设计总结与收获 (22)7 参考文献 (24)附录:彩灯显示控制电路原理图 (25)说明书1前言1.1序言由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。
在设计中更多的使用中,规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。
因此用集成电路来实现更多更复杂的器件功能则成为必然。
随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。
在大型晚会的现场,彩灯更是成为不可缺少的一道景观。
小型的彩灯多为采用霓虹灯电路则不能胜任。
在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。
这些灯的控制设备多为数字电路。
而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字长明灯、流水灯及变幻灯。
长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。
流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。
八路彩灯循环点亮电路设计
数字电子技术课程设计设计题目:八路彩灯循环点亮电路设计学院:专业:____班级:学号:学生姓名:指导教师:2009年 7月 09日目录前言 (3)设计题目 (4)1 设计要求及主要技术指标.. (4)1.1 设计要求 (4)1.2 主要技术指标 (4)2 设计过程 (4)2.1题目分析 (4)2.2 整体构思 (5)2.3 具体实现 (5)3 元件说明及相关计算 (6)3.1 元件说明 (6)3.2 相关计算..................................... . (12)4 调试过程 (12)4.1 调试过程 (12)4.2 遇到问题及解决措施..................... . (13)5 心得体会......... ......... ......... ............. (14)参考文献......................................... (14)附录一:电路原理图 (15)附录二:元件清单 (16)前言电子电路中的信号可分为两类:一类是随时间连续变化的信号,称为模拟信号,例如温度的变化、声音在空气中的传播、表的指针指示的时间、正弦交流信号等。
用来产生、传输、处理模拟信号的电路称为模拟电路。
另一类是时间上和数值上都不连续变化的离散信号,称为数字信号,例如数字电子表显示的时间量、数字万用表测量的量、工厂产品量的统计等。
用来产生、传输、处理数字信号的电路称为数字电路。
数字电路的工作信号在时间上和数值上是不连续变化的。
数字信号反映在电路上只有高电平和低电平两种状态,高电平通常为+3.5 v左右,低电平通常为+0.3 v左右。
这两种状态很方便地用二极管或三极管的导通、截止即开、关状态来实现。
分别用1和。
表示这两个状态,就可以用二进制数进行信息的传输和处理。
所以数字电路就不能采用模拟电路的分析方法。
利用数字电路技术数出给定时间内所通过的脉冲数并显示计数结果的数字化仪器。
八路循环彩灯设计报告
课程设计说明书(论文)题 目: 循环彩灯电路设计课程名称: 数字电子技术学 院: 电子信息与电气工程学院学生姓名: 李振振学 号: 201202010115专业班级: 自动化2012级2班指导教师: 翟亚芳2014 年 6 月 6日课程设计任务书循环彩灯电路设计摘要:设计了一个循环彩灯电路,该电路可以实现8个彩灯从左到右依次点亮,然后依次熄灭,且点亮和熄灭的时间间隔均为1秒的功能。
该电路主要由555定时器、74LS164移位寄存器、74LS00与非门、LED等组成,555定时器提供周期为1s的脉冲信号,74LS164和74LS00共同提供顺序脉冲,从而达到设计要求。
经Multisim仿真测试,该电路可以实现功能要求。
利用Altium Designer软件对电路进行了原理图设计和PCB设计,并对电路进行了安装和调试,调试结果正常。
关键词:循环彩灯;555定时器;74LS164移位寄存器;74LS00与非门;电路仿真;PCB设计目录1.设计背景 (1)1.1数字电路的介绍 (1)1.2时钟电路的作用及基本结构 (1)1.3Multism和Aultism软件的功能及使用 (1)2.设计方案 (2)2.1 课题任务 (2)2.2 任务分析 (2)3.实施方案 (2)3.1原理图设计 (2)3.2电路仿真 (5)3.3 PCB制作 (7)3.4安装与调试 (7)4.结果与结论 (8)5.收获与致谢 (8)6.参考文献 (9)7.附件 (9)7.1电路原理图 (9)7.2仿真图 (11)7.3PCB布线图 (11)7.4实物图 (12)7.5元器件清单 (13)1.设计背景1.1数字电路的介绍数字电子技术是信息、通信、计算机、自动控制等领域工程技术人员必须掌握的基本理论和技能。
数字电路系统的主要内容:数值、逻辑门电路、数模/模数转换电路、半导体存储器等。
数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。
八路旋转彩灯
湖南人文科技学院课程设计报告课程名称:电子技术课程设计设计题目:八路旋转彩灯系别:通信与控制工程系专业:自动化班级:二班学生姓名:周茜杨康宁黄灿灿学号:08421212 08421230 08421250 起止日期: 2010年6月11日~ 2010年6月24日指导教师:田汉平教研室主任:摘要本设计主要是采用74LS194四位双向移位寄存器控制的,通过电路产生一系列有规律的数列,来实现彩灯的循环。
信号控制是通过用555多谐振荡、单输入双D触发器、74AS32二输入或门、74LS02二输入或非门、74LS20四输入与非门和74F08与门来实现的。
用555多谐振荡产生信号,用单端入双D触发器实现信号的翻转(即左右来回循环),用电路中所有的门实现对D触发器和74LS194移位寄存器输入信号的控制,最后实现彩灯的循环。
关键词:数字集成电路、移位寄存器、旋转。
目录设计要求 (1)1、方案论证与对比 (1)1.1方案一 (1)1.2方案二 (2)1.3方案选择 (3)2、单元控制电路及原理 (3)3、整体电路 (6)4、电路演示结果 (7)5、元器件清单 (7)6、总结体会 (8)7、参考文献 (9)八路旋转彩灯设计文档设计要求用8个发光二极管作彩灯,能被顺时针及逆时针逐个亮点,采用220V交流电源供电,自行设计所需要的直流电源。
1、方案论证与对比经过分析问题及初步的整体思考,拟定以下两个方案:1.1方案一总体电路分为三大块。
第一块实现时钟信号的产生;第二块实现彩灯的移位控制;第三块实现循环演示。
主体框图:图1—1 方案一方框图图1—2 方案一电路图主要芯片:74LS194 两片、D触发器、74ls32、74ls02、74ls03、74ls20此方案采用两片78LS194实现八位左右循环(74LS194是四位双向移位寄存器)。
其电路中通过使用D触发器及74AS32、74ls02、74ls03实现控制信号的输入。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
目录摘要 (2)第一章系统组成及工作原理 (3)总体设计思路 (3)基本原理 (3)电路框图 (3)第二章循环发光器的系统组成 (4))555定时电路产生时钟脉冲 (5)移位寄存器 (6)方案二 74LS138及192的功能 (7)第三章循环电路的总体设计 (8)74LS194组成的电路 (9)74LS138及74LS192组成的电路 (11)第四章实验结果的调试及检测 (13)调试使用的主要仪器 (15)!调试技巧的方法 (15)调试中出现的故障、原因及排除方法 (15)第五章总结 (17)第六章附录 (18)附录一 (18)附录二 (18)附录三 (19)[摘要本次循环控制彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。
整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。
本次主要为全亮全灭,及左右移动的功能。
关键词:控制、循环、555定时电路<),彩灯循环控制电路的设计与制作第一章系统组成及工作原理总体设计思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯左右移,及全灭全亮功能输出电路。
时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,循环控制电路采用74LS194实现。
方案二中,主要是采用二进制译码器74LS138及中规模集成电路74LS192实现彩灯的循环控制。
基本原理本次实验主要是通过两片双向移位寄存器74LS194来实现彩灯电路的循环控制,通过555定时电路来产生连续时钟脉冲进行信号的输入,由外围开关控制信号的移动方向,实现左移,右移,及全灭全亮功能。
'框图-图1-1 设计框图—第二章循环发光器的系统组成555定时电路产生时钟脉冲555集成时基电路是一种数字、模拟混合型的中规模集成电路,可连接成多谐振荡电路,产生单位脉冲,用于触发计数器。
在延时操作中,脉冲由一个电阻和一个电容控制。
用于稳定工作的振荡器时,频率由两个电阻和一个电容控制。
NE555会在下降延触发和清零,此时输出端产生200mA的电流。
NE555的工作温度为0℃~70℃。
如图2-1,2-2分别是引脚图和管脚图。
>图2-1 555引脚图图2-2 555管脚图各管脚说明:1接地2触发3输出4复位5控制电压6门限(阈值)7放电8电源电压Vcc。
其功能主要用来产生时间基准信号(脉冲信号)。
因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。
图2-3为多谐振荡电路波形图。
图2-4为多谐振荡器实验连接图。
图2-3 555多谐振荡波形图)~图2-4 多谢振荡器实验连线图用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其周期为: T=(R1+2R2)移位寄存器74LS194移位寄存器除了具有存储功能以外,还具有移动的功能。
所谓移位功能,是指寄存器里存储的代码能在移位脉冲的作用下,依次位,右移位。
U11DIS 7OUT3RS T48T H R 6CON5T R I2GNDV CC555_VIRTUA LR11k ohmR251kohmC10.01u FC210uF5VVC C74LS194是4位双向移位寄存器,它具有并行输入,并行输出,左右移动的功能。
74LS194的操作主要由两个工作方式控制端S1,S0来决定。
当S1S0=00时,为保持状态。
当S1S0=01时,进行右移位操作。
当S1S0=10时,进行左移位操作。
当S1S0=11时,进行送数操作。
在后三种操作中,都是同步的,即必须有时钟信号,在时钟信号的上升沿到来时,进行左右移动和送数操作。
)图2-5为4位双向寄存器管脚图,2-6为74LS194的功能表图2-5 移位寄存器74LS194管脚图图2-6 移位寄存器74LS194功能表其中DIR(2脚)为数据右移位串行输入端(先输入高位,再输入低位DIL为数据左移位串行输入端D0,D1,D2,D3为数据并行输入端<Q0,Q1,Q2,Q3为数据并行输出端S1,S0为控制端RD为复位端(异步清零)。
它的具体功能如下:1)清零:当RD=0时,不管其它输入为何种状态,输入为全零2)保持:当CP=0,RD=1时,其它输入为任意状态,输入状态保持。
或者RD=1,S1,S0均为0,其它输入为任意状态,输出状态也将保持3)置数:RD=1,S1=S0=1,在CP脉冲上升沿时,将数据输入端数据D0,D1,D2,D3置入Q0,Q1,Q2,Q3中并寄存。
4)右移:RD=1,S1=0,S0=1,在CP脉冲上升沿时,实现右移操作,此时,若DIR=0,则0向Q0移位,若DIL=1,则向Q0移位。
!5)左移:RD=1,S1=1,S0=0,在CP脉冲上升沿时,实现左移操作,此时,若DIR=0,则0向Q3移位,若DIL=1,则向Q3移位。
方案二:74LS138及74LS192的使用使用74LS138和74LS192来实现加减计数功能,从而实现全亮,全灭,左移位,右移位的功能。
(1)74LS138工作原理及管脚图如下:当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低电平译出。
(2)74LS138功能:利用G1、/(G2A)和/(G2B)可级联扩展成24 线译码器;若外接一个反相器还可级联扩展成32 线译码器。
^若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器.如图2-7二进制译码器74LS138的管脚图,图2-8为其功能表。
图2-7 二级制译码器74LS138 管脚图图2-8 3线-8线译码器74LS138功能表(3)计数器74LS192管脚图如图2-9所示,功能表如图2-10所示;图2-9 计数器74LS192 管脚图图2-10 计数器74LS192功能表◆C2PU为加计数时钟输入端,CPD为减计数时钟输入端。
◆ LD为预置输入控制端,异步预置。
◆ CR为复位输入端,高电平有效,异步清除。
◆ CO为进位输出:1001状态后负脉冲输出,,◆ BO为借位输出:0000状态后负脉冲输出。
;第三章循电路的总体设计由74LS194组成的功能图图3-1为在仿真软件Proteus 中进行仿真的示意图,脉冲信号为时钟脉冲信号。
如图S1为高电平,S0为低电平时实现右循环。
图中实现单个移位寄存器的功能。
有拨动开关可以实现左右移动,全灭,全亮及置数的功能。
图3-1 单个移位寄存器仿真图.图3-2为在仿真软件Proteus 中进行仿真的示意图,脉冲信号为时钟脉冲信号。
如图S1S0都为低电平时处于保持状态。
图3-2 时钟脉冲信号下的总电路图、S1S0=01 实现右移位S1S0=10实现左移位S1S0=00 实现保持功能S1S0=11实现置数功能555定时电路组成的多谐振荡电路后的示意图图3-3所示为555定时电路产生连续时钟脉冲信号—图3-3 555定时定路下的总设计电路S1S0=01 实现右移位S1S0=10实现左移位S1S0=00 实现保持功能S1S0=11实现置数功能—方案二:由74LS138及74LS192组成的移位寄存器电路,可以实现循环彩灯的控制功能。
如图3-4所示组成的移位寄存电路。
图3-4 由74138及74192组成的移位寄存电路?SW1=1,SW2=0时实现彩灯的左移位功能SW1=1 SW2=1时实现彩灯的保持功能SW1=0 SW2=0时实现彩灯的暂定功能SW1= 0 SW2=1时实现彩灯的右移位功能第四章实验、调试及测试结果分析调试使用的主要仪器: 数字万用表直流稳压电源示波器测试电路的方法和技巧:%先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止调试中出现的故障、原因及排除方法:(1)彩灯只有一种花样变化,没有其它的花样:可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。
还可能是芯片74LS194移位寄存器没有正常工作,检查是否正确接线,芯片是否功能完好。
特别注意不能把非门的输入与输出接反了。
(2)彩灯无规律变化:原因可能是由555定时电路产生的时钟脉冲信号不稳定,或者是在555电路中没有标准的计算各电阻的阻值,电解电容,陶瓷电容的使用是否正确。
(3)彩灯在移动过程中是两个灯一起跳可能是是芯片74LS192在面包板上面没有接稳定,应该检查芯片是否通电,各高低电平是否正常工作。
应该检查导线是否有问题。
—(4)实验过程中灯一会亮一会不亮最后可能是导线的接触不良问题,应该首先从电源是否良好的接入电路开始检查,再检查555定时电路时候正常工作,最后检查芯片管脚电压。
第五章总结与设计调试体会课程设计共耗时两个星期,终于到了结尾总结的时刻了。
感觉在这段时间中自己学会了很多东西,如设计电路最重要的是思路要清晰,一旦有了自己的思路就应该有层次有条理的探索下去,只要坚持自己的观点和判断,就一定能实现,即便最后发现走进了死胡同,但是探索设想与求证的过程却是通往另一条道路必不可少的环节。
在完成这次设计的过程中,我也有参考相关的设计课题,甚至还花了很长的时间去弄清楚别人的设计,以至于后来被束缚住,对自己的设计没有了头绪。
学习吸收别人的长处是应该的,但是不能完全沉浸进去,要有自己的思路和观点,并且努力去实现。
这就是快乐的最大源泉。
在完成本次课设的过程中开始的头几天一直在纠结于用74LS194实现移位寄存器,因为它的原理是很简单的,可是在实际连电路的过程中,一下子遇到了很大的困难,因为外界的干扰因素实在太多了,稍不注意就碰到某个导线了,结果发光二极管就不亮,于是自己得检查到底哪里出现了问题,有得费很长时间去检查管脚是否连错,导线是否松动,或者导线是否坏掉等等情况。
经过这次试验,终于感觉到了理论与实际的差别有多大了,当然这个过程也是非常的考验人的,不仅仅是考察你的理论知识,动手能力,以及检查问题并解决问题的能力,更是在考验一个人的耐心,细心。
在连接电路的过程中,丝毫不得马虎,必须清楚的了解每个芯片的管脚分布图,功能表。
说实话,在实验过程中出现问题并不可怕,可怕的是在反复的受挫中没有革新,不能改进自己的方法和思路。
因此自己应该具有创新思维。
通过这次课程设计,我对电子技术中的诸多知识有了更深层次的理解,也初步学会了如何将理论知识有机地与实际结合加以运用。
这是一次获益匪浅的实习。
附录一:实验器件列表附录二:参考资料[1]历雅萍电子技术课程设计.武汉:武汉工业大学出版社,1999[2]彭介华.电子技术课程设计指导.高等教育出版社.1998[3]谢自美.电子线路设计、实验、测试.华中科技大学,1988[8]附录三74LS192管脚图74LS138管脚图74LS194管脚图。