ModelSim_使用(原创)

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

ModleSim-Altera 使用流程:

通过quatusll 打开modlesim 的方法

配置生成仿真文件环境

1.Assignments -- settings

2.EDA Tool Settings --simulation -- Tool Name: ModleSim-Altera

3. Format For output netlist --Verilog HDL

4.Time scale : 1ps 也可以指定别的量级

5.output directory :指定测试文件模板生成路径该路径是相对路径

生成仿真文件

1. 生成仿真文件模板:Processing -- Start --Start Tesst Bench Template Writer --提示生成成功

(会在工程文件 modelsim 下的目录找到后缀名为 vt 的 文件)

2. 根据自己想要的条件对模板进行编辑。

配置仿真环境 (指定那个是仿真文件)

0.首先复制一下 仿真 文件 module 关键字后面的 模块名 比如 module key_vlg_tst().. 复制 key_vlg_tst

1.Assignments -- settings

2.EDA Tool Settings -- simulation --NativeLink settings --Compile test bench --点击 后方 test benches

3. new

4.Test bench name :key_vlg_tst(刚才赋值的仿真模块名) | Top level module in test bench : key_vlg_tst(一样)

5.勾选 use est bench to pergorm VHDL timing simulaion -- Design instance name in test bench :填写 i1

6.file name --浏览 找到上面创建的仿真文件 --add

进行仿真

Tool -- Run EDA Simulation Too -- EDA RTL Simulation 稍微等一下 就可以看见相应的仿真波形图。通过modlesim 打开 test bench 进行仿真的方法

1. 通过trascript 栏中 cd 到我的工程目录下面 比如:cd E:/icore3/icore3_fpga/mytest2/simulation

注意: 在modlesim 中用 / 作为分隔符

2. 新建立一个文件夹 mkdir test :这个时候就会出现一个test 文件夹 可以通过 ls -l

3. file - new project -- project name: work 随便选 比如 work

4. add Existing file -- 添加你想要进行仿真的test bench 文件 一般将该文件放在 放在 src 文件下 ,以顶层_tb 的方式进行命名。 比如有一个 顶层为key.v 文件 那么我会在放同一个目录下面 命名一个 key_tb.v 文件写test bench -- OK -- close 注 :在进行这一步的时候 你已经写好test bench 了

5.右键添加的 .v 文件 然后进行编译 ,看报错情况并进行修改,双击错误的地方,可以查看错误的位置和信息,最后compile all 通过方可停止。w a j a

6. simulation -- start simulation --work --key_tb (选中的是test bench )-- 不要勾选 Enable Option

7. 将需要进行仿真的信号 选中 --add wave

8. Transcript -- run 1ms

do 文件的编写: 注释用#

quit -sim //退出当前程序的仿真 是 退出当前的仿真 不是关闭modlesim vlib work //新建一个库 库的名字叫做work vmap work work #将work 映射到 work 中去vlog ../scr/pll_1.v ..标示上一级目录 将pll_1.v 添加进来vlog ../scr/dds_sin_rom.v 将dds_sin_rom 也添加进来vlog ../scr/dds_cos_rom.v

vlog ../scr/dds_phas_acc.v

vlog ../scr/dds_cos_sin_block.v

vlog ../scr/dds_cos_sin_block_tb.v

#下面这句话 前半句是添加库 -novopt 不要优化 vsim -L D:/setup/modeltech_6.5/modeltech_6.5/altera/alter_lib -novopt work.dds_cos_sin_bloc_tb w a j a

do wave.do 执行之前保存的图形

run 20ms

注:restart 命令 :我们希望从新从零开始 进行仿真 那么命令是 restart -f test bench 的编写

w a j

a

相关文档
最新文档