采样高速AD的存储示波器设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

模式5的键1控制采样/扫描输出,高电平由ADC5510 采样进入RAM,低电平禁止写入,允许扫描输出给
5651(A),在示波器上显示出来。
模式5的电路结构
ADC5510转换数据向FPGA的输入口,引脚锁定参考表7-3
文件下载
注意此示例存放的路径
32768Hz模拟信号 由“OUTPUT”输出
监视输出的模拟信号 32768Hz脉冲信号用于生成待采样模拟信号
END;
K 康芯科技 X
RTL电路图wenku.baidu.com
AD_DA板与FPGA EP1C6/12接口原理图
详细说明可参考《SOPC_EDA实验讲义》
AD_DA板与FPGA EP1C3接口原理图
详细说明可参考《SOPC_EDA实验讲义》
选择模式5
K 康芯科技 X
模式5的键1控制采样/扫描输出,高电平由 ADC5510采样进入RAM,低电平禁止写入,
K 康芯科技 X
由DAC5651(A口)输出波形
其余设置默认!
短路帽插时钟 20MHz
调谐幅度4V左右
ADC模拟信 号输入口:“AIN”
工作时钟CLK选择20MHz 时钟源,对应Æpin127
工作时钟CLK选择ADDA板上的20MHz时钟源,对应Æpin127
K 康芯科技 X
ADC模拟信 号输入口:“AIN”
if rising_edge(CLK) then DIN <= ADIN ; end if;
end process;
DOUT(9 DOWNTO 2)<=MD0; TRAG<=Q1; DOUT(1 DOWNTO 0) <= "00";
u1 : DPRAM PORT MAP(data=>DIN, wren=>KEY1, address=>Q1, q=>MD0, inclock=>CLK);
SIGNAL MD0,DIN : STD_LOGIC_VECTOR (7 DOWNTO 0);
BEGIN
PROCESS(CLK)
BEGIN
IF rising_edge(CLK) THEN Q1 <= Q1 + 1; END IF;
END PROCESS;
process(CLK, ADIN)
begin
模式5的电路结构
K 康芯科技
32768Hz脉冲信号 X
进入“INPUT”
短路帽向上插:“H_F”
监视输出的模拟信号
短路帽向上插:“H_F”
32768Hz模拟信号 由“OUTPUT”输出
K 康芯科技 X
32768Hz脉冲信号 进入“INPUT”
32768Hz脉冲信号用于生成待采样模拟信号
模式5的电路结构
模拟波形输出 控制键1
调谐幅度接4V65左53右6HZ
其余设置默认!
接65536HZ
模式5的电路结构
打开+/-12V电源
K 康芯科技 X
模式5的键1控制采样/扫描输出,高电平由ADC5510 采样进入RAM,低电平禁止写入,允许扫描输出给
5651(A),在示波器上显示出来。
K 康芯科技 X
5651A输出的采样模拟信号
inclock, wren : IN STD_LOGIC ;
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
END COMPONENT;
SIGNAL Q1 : STD_LOGIC_VECTOR (9 DOWNTO 0);
K 康芯科技 X
由5651A输出的存储信号
V
G
A 文 字 图 象 显 示
SignalTapII设置
K 康芯科技 X
SignalTapII测试的进入5510的波形
SignalTapII波形
K 康芯科技 X
SignalTapII测试的由5651输出的存储波形
允许扫描输出给5651(A),在示波器上
显示出来。
DAC工作时钟 ADC工作时钟
工作时钟CLK选择20MHz时钟源,对应Æpin16 波形数据由DAC5651(A口)的数据口进入,引脚锁定参考表7-1
K 康芯科技 X
引脚锁定
用于示波器锯齿波输出的数据由DAC5651(B口)进入,引脚锁定参考表7-2
TRAG, DOUT : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);
ADIN : IN STD_LOGIC_VECTOR (7 DOWNTO 0) );
END;
ARCHITECTURE DACC OF RESERV IS
COMPONENT DPRAM
PORT ( address : IN STD_LOGIC_VECTOR (9 DOWNTO 0);
实验 12-5. 采用高速A/D的存储示波器设计
程序设计与硬件实验
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;
K 康芯科技 X
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY RESERV IS
PORT(CLK, KEY1 : IN STD_LOGIC;
相关文档
最新文档