数电课程设计——电梯控制器设计
电梯控制器的课程设计
电梯控制器的课程设计一、课程目标知识目标:1. 学生能理解电梯控制器的基本原理和功能,掌握其内部结构和操作流程。
2. 学生能描述电梯控制系统的基本组成部分,包括传感器、控制器、执行器等。
3. 学生了解电梯控制器的安全性能和故障处理方法。
技能目标:1. 学生能运用所学知识分析电梯控制系统的故障,并提出合理的解决方案。
2. 学生能通过实际操作,熟练使用电梯控制器进行楼层呼叫和运行控制。
3. 学生具备一定的团队合作能力,能在小组讨论中积极参与,共同解决问题。
情感态度价值观目标:1. 学生培养对电梯控制技术的好奇心和探索精神,提高对工程技术的兴趣。
2. 学生认识到电梯控制器在现代生活中的重要性,增强社会责任感和安全意识。
3. 学生通过课程学习,培养严谨、认真、细致的学习态度,提高自我管理和自主学习能力。
课程性质:本课程为技术学科,结合实际生活中的电梯控制系统,使学生掌握相关知识,提高实践操作能力。
学生特点:六年级学生具备一定的逻辑思维和动手能力,对新鲜事物充满好奇心,善于合作与交流。
教学要求:结合学生特点和课程性质,注重理论与实践相结合,强调学生的主体地位,提高学生的操作技能和解决问题的能力。
在教学过程中,关注学生的个体差异,引导他们积极参与,确保课程目标的实现。
通过课程学习,使学生在知识、技能和情感态度价值观方面均取得具体的学习成果。
二、教学内容1. 电梯控制器原理:讲解电梯控制器的基本工作原理,包括电梯运行过程中的信号处理、逻辑判断和指令输出等。
2. 电梯控制器结构:介绍电梯控制器的内部结构,包括传感器、控制器、执行器等组成部分,以及它们之间的相互关系。
3. 电梯控制系统操作流程:详细讲解电梯控制系统的操作流程,如楼层呼叫、电梯响应、门控等。
4. 安全性能与故障处理:分析电梯控制器的安全性能,介绍常见的故障类型及其处理方法。
5. 实践操作:安排学生进行电梯控制器的实际操作,包括楼层呼叫、电梯运行控制等,巩固理论知识。
数电课程设计_电梯控制系统
湖南工程学院课程设计课程名称_________ 数字电子技术课题名称简易电梯控制系统专业___________ 自动化___________ 班级___________ 1081 ___________ 学号_________ 201013110111姓名_________ 罗超超指导教师___________ 龚志鹏2012年11月23日湖南工程学院课程设计任务书课程名称:数字电子技术题目:简易电梯控制系统专业班级:自动化1081学生姓名:罗超超学号:11指导老师:龚志鹏审批:任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五主要设计条件1 . 提供调试用实验室;2.提供调试用实验箱和电路所需元件及芯片;3.所用设备及元件需在设计后归还。
目录一、系统总体设计方案及系统框图81.1设计方案: (8)1.2设计原理: (8)1.3系统总电路图: (9)二、....................................... 单元电路的设计112.1信号的输入,锁存及显示: (11)2.2控制编码发生器: (12)2.3系统电路的编码,数据比较及加减计数: (13)三、安装与调试步骤143.1安装步骤: (14)3.2调试步骤: (14)四、................................................ 故障分析与电路改进. (15)4.1故障分析: (15)⑴秒脉冲信号发生电路是否产生脉冲: (15)⑵数码显示管不能正常显示: (15)⑶信号灯不显示或不按仿真的状态显示: (15)4.2电路改进: (16)五、........................................... 总结与体会1617六、附录(元器件清单)、系统总体设计方案及系统框图1.1设计方案:⑴系统的时钟脉冲信号是由函数发生器发生。
时钟信号通过一定与门和与非门输入同步加减计数器74LS192N,控制其加减计数。
电梯控制plc课程设计
电梯控制plc课程设计一、教学目标本课程旨在通过学习电梯控制PLC(可编程逻辑控制器)的相关知识,让学生掌握PLC的基本原理、编程方法和应用技巧。
通过本课程的学习,学生将能够理解PLC的工作原理,熟练使用PLC进行编程和控制,具备分析和解决实际问题的能力。
1.掌握PLC的基本组成原理和各部分功能。
2.熟悉PLC编程语言和指令系统。
3.了解PLC在电梯控制中的应用和实例。
4.能够使用PLC进行简单的控制系统设计和编程。
5.具备对PLC程序进行调试和故障排除的能力。
6.能够运用PLC技术解决实际工程问题。
情感态度价值观目标:1.培养学生的创新意识和团队合作精神。
2.增强学生对新技术的学习兴趣和热情。
3.培养学生的工程责任感和职业道德。
二、教学内容本课程的教学内容主要包括PLC的基本原理、编程方法和电梯控制系统的应用。
教学大纲如下:1.PLC概述:介绍PLC的定义、发展历程和分类。
2.PLC的组成原理:讲解PLC的硬件结构和软件系统。
3.PLC编程语言:介绍PLC的编程语言和指令系统。
4.PLC编程方法:讲解PLC程序的设计方法和技巧。
5.电梯控制系统:介绍电梯控制系统的基本原理和PLC在电梯控制中的应用。
6.电梯控制程序设计:通过实例讲解电梯控制程序的设计过程。
三、教学方法为了提高学生的学习兴趣和主动性,本课程将采用多种教学方法,包括讲授法、讨论法、案例分析法和实验法等。
1.讲授法:通过讲解和演示,使学生掌握PLC的基本原理和编程方法。
2.讨论法:学生进行小组讨论,培养学生的团队合作精神和创新意识。
3.案例分析法:通过分析实际案例,使学生了解PLC在电梯控制中的应用。
4.实验法:安排实验室实践环节,让学生动手操作,提高实际操作能力。
四、教学资源为了支持本课程的教学内容和教学方法的实施,我们将选择和准备以下教学资源:1.教材:选用权威、实用的教材,为学生提供系统的学习资料。
2.参考书:提供相关的参考书籍,丰富学生的知识体系。
数电四层电梯课程设计
数电四层电梯课程设计一、课程目标知识目标:1. 学生能理解并掌握数字电路基础知识,尤其是组合逻辑电路和时序逻辑电路的原理和应用。
2. 学生能运用所学的数字电路知识,设计并实现一个四层电梯控制系统,包括电梯呼叫、指示、楼层显示等功能。
3. 学生能够解释电梯控制系统中的各种信号及其作用,如电梯运行指令、楼层检测信号等。
技能目标:1. 学生能够运用逻辑门电路设计简单的组合逻辑电路,解决实际问题。
2. 学生能够利用触发器和计数器设计时序逻辑电路,实现电梯楼层显示和运行控制。
3. 学生能够通过实验和仿真软件,测试并优化所设计的电梯控制系统,提高系统的稳定性和可靠性。
情感态度价值观目标:1. 学生通过课程学习,培养对数字电路和电梯控制技术的兴趣,激发创新精神和实践能力。
2. 学生能够认识到数字电路在现实生活中的广泛应用,增强对所学知识的认同感和责任感。
3. 学生在团队协作中,培养沟通、合作能力,提高问题解决能力和自主学习能力。
课程性质:本课程为实践性较强的课程,结合理论知识和实际操作,培养学生运用数字电路知识解决实际问题的能力。
学生特点:学生具备一定的数字电路基础知识,对电梯控制有一定了解,但对实际应用中的问题解决能力有待提高。
教学要求:注重理论与实践相结合,鼓励学生动手实践,提高学生的实际操作能力和创新能力。
通过课程学习,使学生在掌握数字电路知识的基础上,能够独立设计并实现四层电梯控制系统。
二、教学内容1. 数字电路基础知识回顾:逻辑门电路、组合逻辑电路、时序逻辑电路原理及设计方法。
教材章节:第二章 组合逻辑电路;第三章 时序逻辑电路。
2. 电梯控制系统原理介绍:电梯控制系统的工作原理、功能模块划分、信号类型及作用。
教材章节:第六章 电梯控制系统。
3. 四层电梯控制系统设计:a. 设计要求与功能需求分析。
b. 逻辑电路设计:电梯呼叫、指示灯控制、楼层显示电路设计。
c. 时序电路设计:电梯运行控制、楼层计数器设计。
电梯控制系统的课程设计
电梯控制系统的课程设计一、课程目标知识目标:1. 学生能理解电梯控制系统的基本构成和原理,掌握电梯运行过程中涉及的关键技术。
2. 学生能够描述电梯控制系统中的传感器、执行器、控制单元等组件的作用及相互关系。
3. 学生了解电梯控制系统的安全规范和行业标准。
技能目标:1. 学生能够运用所学知识,分析并解决电梯运行过程中可能出现的故障问题。
2. 学生通过小组合作,设计并搭建一个简单的电梯控制系统模型,提高动手实践能力。
3. 学生能够运用相关软件对电梯控制系统进行仿真测试,优化系统性能。
情感态度价值观目标:1. 学生通过学习电梯控制系统,培养对现代智能交通系统的兴趣,提高科学素养。
2. 学生在课程学习中,树立安全意识,关注电梯乘坐安全问题,提高社会责任感。
3. 学生通过小组合作,培养团队协作精神,提高沟通与交流能力。
课程性质:本课程为高二年级电子技术及应用课程的一部分,旨在让学生了解电梯控制系统的基本原理,提高学生的实际操作能力和创新能力。
学生特点:高二学生在电子技术方面已有一定的基础,对实际操作和创新活动有较高的兴趣。
教学要求:结合学生的特点和课程性质,注重理论与实践相结合,提高学生的动手实践能力和解决实际问题的能力。
在教学过程中,关注学生的个体差异,鼓励学生积极参与,培养团队协作精神。
通过本课程的学习,使学生能够将所学知识应用于实际生活,提高学生的综合素质。
二、教学内容本章节教学内容主要包括以下几部分:1. 电梯控制系统概述:介绍电梯控制系统的基本概念、发展历程、应用领域及未来发展趋势。
2. 电梯控制系统组成:详细讲解电梯控制系统的各个组成部分,包括传感器、执行器、控制单元、人机交互界面等,并分析各部分的功能和相互关系。
3. 电梯控制原理:阐述电梯运行过程中的控制原理,包括速度控制、位置控制、群控系统等,结合教材案例分析实际应用。
4. 电梯控制系统设计:介绍电梯控制系统设计的基本流程、方法和注意事项,引导学生运用所学知识进行实际设计。
数字电子技术课程设计(四层电梯控制)
数字电子技术课程设计(四层电梯控制) 目录proteus简介 ................................................ 1 1 方案选择.................................................. 3 2 硬件电路设计 . (3)2.1 脉冲电路设计 ..................................................................... .. (3)2.2 开关电路设计 ..................................................................... .. (4)2.3 显示译码电路设计 ..................................................................... (5)2.4 电梯升降电路设计 ..................................................................... (6)2.5 主控制电路设计 ..................................................................... .................................. 8 3 软件程序设计 (8)3.1 设计流程图 ..................................................................... (8)3.2 程序代码 ..................................................................... ............................................ 10 4 仿真结果及分析 ........................................... 13 5 电路焊接与调试 ........................................... 15 附:元件清单 ............................................... 16 6 心得体会................................................. 17 7 参考文献................................................. 18 本科生课程设计成绩评定表 .. (19)《数字电子技术基础》课程设计任务书proteus简介Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。
数字电子技术-课程设计报告-电梯控制
题目名称:电梯控制院系:电气工程学院专业班级:电子工艺与管理学生姓名:徐小可学号: 41指导教师:孙艳完成时间:2012年11月30目录摘要 0一,设计目的及意义 (1)目的: (1)意义: (1)二,整体设计 (2)2.1 设计目标 (2)2.2 设计要求 (2)2.3 整体设计流程图 (3)三,电梯控制系统的实现 (3)3.1 实现功能 (3)3.2 实现电路 (4)3.2.1 楼层的输入电路 (4)3.2.2 555定时电路 (4)3.2.3楼层显示电路 (5)3.2.4四层电梯控制系统实现总电路 (6)3.3主要元器件介绍 (6)3.3.1 译码器 (6)3.3.2数码管 (7)3.3.3 D触发器 (8)四,实验总结 (9)4. 1 设计中主要出现的问题 (9)4.2 尚待解决的问题 (9)4. 3 心得体会 (10)摘要随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。
电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。
多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。
在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。
据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。
当今世界,电梯的使用量已成为衡量现代化程度的标志之一.设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。
电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。
以此来设计了一个四层电梯控制系统。
关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管;一,设计目的及意义本设计是利用已学过的数电知识,设计的四层电梯控制系统。
数电课程设计-电梯自动控制系统
数电课程设计-电梯自动控制系统(总4页)-CAL-FENGHAI.-(YICAI)-Company One1-CAL-本页仅作为文档封面,使用请直接删除《数字逻辑》课程设计学院:计算机与信息学院参考资料:图书馆藏书和课本2010年7月2日计算机与信息学院、计算机系统结构研究所1、目的(1)让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;(2)进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;(3)初步掌握使用EDA(电子设计自动化)工具设计数字逻辑电路的方法,包括设计输入、编译、软件仿真、下载和硬件仿真等全过程;(4)经过查资料、选方案、设计电路、撰写设计报告、使学生得到一次较全面的工程实践训练,通过理论联系实际,提高和培养创新能力,为后续课程的学习,毕业设计,毕业后的工作打下基础。
2.课程设计题目高楼电梯自动控制系统设计任务和基本要求:(1)系统控制的电梯往返于1-9层楼。
(2)乘客要去的楼层数可手动输入并显示(设为A数)。
(3)电梯运行的楼层数可自动显示(设为B数)。
(4)当A>B时,系统能输出使三相电机正转的时序信号,使电梯上升;当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降;当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门;(5)梯是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电梯上升或下降到乘客所在楼层的控制开关。
3.所用主要器件和设备(1)数值比较器74LS85 一片;(2)十进制可逆计数器 74LS192 一片;(3)七段数字译码显示系统(4)十进制至二进制普通编码器4.设计思想步骤<1>实验思想刚开始的实现是分模块的,然后在每个模块的功能都实现之把它们连接起来,分为三个模块,即输入的二进制到十进制的数值编码转换模块、数值比较模块和可逆计数模块。
二进制到十进制的数值编码转换模块包括楼层选择器、状态显示器、译码器和楼层显示器。
电梯控制plc课程设计
电梯控制plc课程设计一、课程目标知识目标:1. 学生能理解PLC(可编程逻辑控制器)的基本原理和在电梯控制系统中的应用。
2. 学生能掌握电梯控制系统中常用的PLC编程指令和程序设计方法。
3. 学生能了解电梯控制系统的安全要求和行业标准。
技能目标:1. 学生能运用PLC进行简单的电梯控制程序编写和调试。
2. 学生能够分析电梯控制系统的故障原因,并提出合理的解决方案。
3. 学生通过小组合作,能够完成一个电梯控制PLC课程设计项目,提高实际操作能力。
情感态度价值观目标:1. 学生对PLC技术及其在电梯控制领域的应用产生兴趣,培养主动学习的态度。
2. 学生在课程设计中,增强团队合作意识,学会倾听、沟通、协作。
3. 学生关注电梯控制系统的安全性和可靠性,树立安全意识,培养社会责任感。
课程性质:本课程为实践性较强的课程,结合理论知识与实际操作,培养学生的动手能力和创新能力。
学生特点:学生具备一定的电子技术基础和编程能力,对新技术充满好奇,喜欢动手实践。
教学要求:教师需注重理论与实践相结合,引导学生主动参与课程设计,培养解决实际问题的能力。
在教学过程中,关注学生的个体差异,鼓励学生互相学习,共同进步。
通过课程目标的实现,使学生在知识、技能和情感态度价值观方面取得具体的学习成果。
二、教学内容1. PLC基本原理:介绍PLC的组成、工作原理、性能特点,结合教材第二章内容,让学生了解PLC在工业控制中的应用。
2. 电梯控制系统概述:分析电梯控制系统的基本要求、结构和功能,参考教材第三章,了解电梯控制系统的分类及发展趋势。
3. PLC编程指令与程序设计:学习PLC的基本编程指令,如逻辑运算、定时器、计数器等,结合教材第四章,让学生掌握电梯控制程序的设计方法。
4. 电梯控制PLC程序编写与调试:根据教材第五章内容,教授学生如何编写和调试电梯控制程序,培养实际操作能力。
5. 电梯控制系统故障分析与解决方案:结合教材第六章,让学生学会分析电梯控制系统故障原因,并提出合理的解决方案。
数字电子技术课程设计简易电梯控制系统
目录一、电路系统总体设计方案以及设计原理二、系统总电路图三、单元电路(子模块、子程序)分析四、电路的安装和调试五、元件清单六、总结与体会课程设计的任务要求1.1、任务:设计一个可用于四层楼的简易电梯控制系统,楼层标记用0-3表示。
要求具有以下功能:(1)假设每次只有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭;(2)当电梯超重时,报警灯亮,电梯拒绝运行;(3)系统能手动复位,复位后,电梯停在第0层;(4)可根据实际情况,设计其它功能。
1.2、要求:(1)思路清晰,给出整体设计框图和总电路图;(2)单元电路设计,给出具体设计思路和电路;(3)写出设计报告。
一、电路系统总体设计方案以及设计原理1.1、设计思路和方案(1)主体思路:此电路系统核心元器件为74ls192芯片,我们通过利用与非门和非门以及其他的芯片来实现由系统中25HZ的函数发生器产生的时钟脉冲信号来控制74ls192芯片的加减计数功能。
(2)此电路系统分为两个模块,上半部分由开关、显示器、74ls148芯片、74ls85芯片、74ls192芯片、与非门和非门所组成,用以控制简易电梯的用开关控制的要去的楼层显示以及楼层升降显示(相比前一个显示器有延时,由函数发生器的脉冲信号决定)。
并且能通过74ls192芯片的置数功能管脚来实现电梯楼层的置零功能。
第二个模块即下半部分由单次脉冲源、显示器、74ls192芯片、74ls00芯片、非门和发光二极管所组成,用以控制实现简易电梯的超重报警功能,由单次脉冲源(有上升沿与下降沿)来表示电梯里面人数的增减,依旧还是以74ls192芯片来控制加减计数功能。
电路系统中设置为电梯中超过四人便会有超重报警,此时发光二极管便会发光,同时第二模块的信号通过一个非门使得第一模块的74ls192芯片停止置数,换而实现保持功能,电梯就停止运作,不再上下楼层。
(3)两个模块均由25HZ的函数发生器的脉冲信号实现功能。
数电实验报告-简易三层电梯控制器
数字电路与逻辑设计实验简易电梯控制器实验报告电子工程学院2010211205班张阗目录一、功能设计 (3)1、电梯运行规则 (3)2、实验板硬件实现 (3)二、程序架构 (4)1、分频模块 (5)2、状态机模块 (5)3、寄存器模块 (6)4、外部显示模块 (6)三、程序代码 (7)四、结果仿真 (17)五、实验心得 (20)一、功能设计1、电梯运行规则电梯初始状态为一层开门状态。
电梯外部设有1层请求上升、2层请求下降、2层请求上升、3层请求下降按钮;内部设有1层到达、2层到达、3层到达、关门按钮。
可显示电梯当前所在楼层、外部请求楼层、内部请求楼层。
可现实上升或下降状态。
电梯每秒上升(下降)一层楼。
电梯到达需要停止的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。
电梯需要寄存器来记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。
当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号和停站请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接上升到有下楼请求的最高层,然后进入下降模式。
当电梯处于下降模式时则与上升模式相反。
2、实验板硬件实现(1)控制部分(输入):(2)显示部分(输出):二、程序架构图1 程序架构1、分频模块外部时钟频率为50M,通过分频模块后得到不同功能对应的频率,作为其他模块的时钟输入,包括:状态机模块中的电梯控制时钟、寄存器模块中的按键保存时钟、外部显示模块中的数码管扫描时钟和点阵扫描时钟。
2、状态机模块状态机模块包括十个状态,分别为:stopon1(位于1层),dooropen(开门),doorclose(关门),doorwait1(开门等待第1秒),doorwait2(开门等待第2秒),doorwait3(开门等待第3秒),doorwait4(开门等待第4秒),up(上升),down(下降),stop(停止)。
数字逻辑课程设计-电梯控制系统【范本模板】
华中科技大学课程设计报告数字电路与逻辑设计课程设计报告题目:电梯控制器的设计与实现专业:班级:学号:姓名:电话:邮件:分组:完成日期:指导教师:华中科技大学课程设计报告团队成员:姓名班级学号贡献百分比实验部分完成情况(亮点、完成、基本完成、未完成、时间)总分:实验部分70% + 报告30%)检查老师签名:华中科技大学课程设计报告目录1课程设计概述。
.。
..。
.。
.。
.。
...。
.。
.。
.。
.。
...。
...。
.。
.。
..。
..。
.。
..。
.。
.。
..。
...。
.....。
..。
..。
..。
..。
...。
.。
....。
.。
.。
..。
..。
.。
.。
.。
..1.1课设目的。
..。
.。
.。
.。
...。
.。
..。
..。
...。
.。
.。
.。
.....。
.。
..。
..。
..。
.。
.。
...。
.....。
...。
..。
.。
...。
.。
..。
.。
.........。
...。
...。
1.2课设要求 .。
...。
..。
.。
..。
.。
.。
.。
.....。
....。
..。
...。
..。
.。
...。
.。
..。
.。
..。
.。
.。
.。
.。
..。
.。
.。
.。
....。
...。
.。
...。
...1.3课设任务。
..。
..。
.。
....。
.。
.。
..。
.....。
.。
.。
.。
.。
..。
.。
.。
.。
.。
...。
..。
.。
.。
.。
.。
.。
.。
...。
.。
.。
...。
.。
.。
.。
.。
....。
.。
.。
1.4实验环境 .。
....。
.。
..。
.。
.。
.....。
...。
.。
.。
.。
.。
.。
..。
.。
.。
.。
...。
.。
.。
..。
.。
.。
..。
.。
.。
.。
.....。
..。
.。
..。
.。
.。
..。
.。
.。
.。
2基本方案设计 ..。
.。
..。
.。
....。
.。
.。
.。
...。
...。
.。
..。
.。
...。
..。
.。
.。
.。
.。
.....。
.........。
.。
..。
...。
..。
..。
...。
.。
.。
...。
....。
2.1设计需求。
...。
数字电路课程设计报告——三层电梯
数字电路课程设计报告——三层电梯控制器姓名:李世刚班级:计122—3学号:201258502314指导老师:王玲玲2012年12月23日目录一、设计内容 (1)1、简要说明 (2)2、任务和要求 (2)二、设计方案及总体功能 (2)三、各部分具体设计 (4)四、我的设想 (12)五、总结 (12)一、设计内容本次设计的是三层电梯控制器:1、简要说明:电梯控制器是控制电梯按顾客的要求自动上下的装置。
2、任务和要求:(1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停战请求开关。
(2)设有电梯所处位置指示装置及电梯运行模式(上升或下降或等待)指示装置。
(3)电梯每秒升(降)一层楼。
(4)电梯到达有停站请求的楼层后,经一秒电梯楼门打开,开门指示灯亮,开门四秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停在当前层。
(5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除(6)电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则相反。
(7)电梯初始状态为一层开门状态。
二、设计方案及总体功能本设计的总体框图如图1-1所示:图1-1 总体框图本控制器的功能模块主要包括控制器、状态显示器,译码器,楼层显示器等。
乘客在电梯中选择所要到达的楼层,通过控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器从而在楼层显示器中显示。
电梯控制器原理图三、各部分具体设计1、模块arc见图1-2。
该模块是整个控制器的核心。
其主要的的设计思想是将电梯的状态划分为四个:一层状态(c1),二层状态(c2),三层状态(c3)及开门状态(kai)。
对于每一个状态分析其所有的可能。
图1-3本模块的程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity arc isport( u1,u2,d2,d3,k1,k2,k3,clk:in std_logic;site:out std_logic_vector(3 downto 1);a1,a2,a3,mode,door,ur1,ur2,dr2,dr3:out std_logic);end arc;architecture behave of arc istype state_type is(c1,c2,c3,kai);beginprocess(clk)variable u,d,s,f:std_logic_vector(3 downto 1);variable m,a,b,n:std_logic;variable cnt1,cnt2:integer;variable state :state_type;beginif clk'event and clk='1' thenif u1='0' thenu(1):='1';ur1<='1';end if;if u2='0' thenu(2):='1';ur2<='1';end if;if d2='0' thend(2):='1';dr2<='1';end if;if d3='0' thend(3):='1';dr3<='1';end if;if k1='0' thens(1):='1';end if;if k2='0' thens(2):='1';end if;if k3='0' thens(3):='1';end if;if cnt1<1000 thencnt1:=cnt1+1;elsecase state iswhen c1 =>f:="001";m:='0';if u/="000" or d/="000" or s/="000" thena:='1';elsea:='0';end if;if n='0' thendoor<='1';elsedoor<='0';if s(1)='1' or u(1)='1' thenstate:=kai;s(1):='0';u(1):='0';elsif u(2)='1' or s(2)='1' thenstate:=c2;elsif d(3)='1'or s(3)='1' thenstate:=c2;b:='1';elsif d(2)='1' thenstate:=c2;m:='1';end if;end if;when c2=>f:="010";if m='0' thenif s(2)='1' or u(2)='1' thenstate:=kai;s(2):='0';u(2):='0';elsif d(3)='1'or s(3)='1' or b='1' thenstate:=c3;b:='0';elsif d(2)='1' or u(1)='1' or s(1)='1' thenm:='1';end if;end if;if m='1' thenif d(2)='1' or s(2)='1' thenstate:=kai;d(2):='0';s(2):='0';elsif u(1)='1' or s(1)='1' or n='1' thenstate:=c1;n:='0';elsif u(2)='1' or d(3)='1' or s(3)='1' thenm:='0';end if;end if;when c3=>m:='1';f:="100";if s(3)='1' or d(3)='1' thenstate:=kai;s(3):='0';d(3):='0';elsif d(2)='1' or s(2)='1' thenstate:=c2;elsif u(1)='1' or s(1)='1' thenstate:=c2;n:='1';elsif u(2)='1' thenstate:=c2;m:='0';end if;when kai=>door<='1';if cnt2<3 thencnt2:=cnt2+1;elsedoor<='0';cnt2:=0;if f="001" thenstate:=c1;elsif f="010" thenstate:=c2;elsif f="100" thenstate:=c3;end if;end if;end case;cnt1:=0;end if;end if;site<=f;a1<=s(1);a2<=s(2);a3<=s(3);mode<=m;end process;end behave;2、计数器模块cnbt,在时钟的驱动下,实现了对数码管的动态扫描及数据的选择。
电梯控制器设计
前言电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。
中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主知识产权和核心技术,自主品牌占市场的份额很少。
因此要加大对电梯技术的创新和发展,提升电梯的性能,就需要引进更好的技术,电梯控制器就是很好的装置,大力开发控制器是很必要的。
电梯控制器可以有很多实现方式,本设计用了EDA技术进行操作。
EDA技术打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率与产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。
VHDL主要用于描述数字系统的接口,结构和功能,它的语法简单易懂,移植性好。
我设计的是一个5层电梯控制器。
分为主控制器和分控制器。
主控制器是电梯内部的控制器,每层电梯入口处有一个分控制器。
本设计采用VHDL源程序Altera 公司的 Quartus II软件仿真。
运用有限状态机的设计方法,设计了两个进程相互配合,状态机进程作为主要进程,信号灯控制进程作为辅助进程。
在主进程中定义了七个状态,楼层上升请求UPIN,楼层下降请求DOWNIN,提前关门输入CLOSE,延迟关门输入DELAY,电梯运行的开关RUN_STOP,电梯运行或停止指示LAMP,电梯运行或等待时间指示RUN_WAIT,在电梯时钟的触发下,通过当前状态和信号灯信号来判定下一状态。
信号灯控制进程中,信号灯信号存储按键请求情况,它的熄灭是由状态机进程中传出的信号来控制。
本程序设计调用了IEEE 库,IEEE 库是 VHDL设计中最为常用的库,它包含有IEEE标准的程序包和其他一些支持工业标准的程序包。
本设计采用、STD-LOGIC-ARITH 程序包。
以关键词STD-LOGIC-1164、STD-LOGIC-UNSIGNEDENTITY引导,END ENTITY LIFT 结尾的部分是程序的实体部分。
VHDL的实体描述了电路器件的外部情况,本设计定义了关于三层电梯控制器用到的各类时钟、异步复位按键、信号灯指示端口、电梯的请求端口。
数电课程设计--电梯控制电路
数电课程设计--电梯控制电路
电梯控制电路是一种能够应用于控制楼层和活动方式的电子电路,它可以连接梯状设
施和机械部件,从而使用户能舒适、安全、方便地改变楼层或移动。
控制电路包括一系列
输入部件,如按钮和断路器,它们可以输入信号,来控制电梯运行。
电梯控制电路原理一般是通过一系列电路模块来实现的,包括输入部件、逻辑控制电
路和输出部件。
输入部件将用户的操控信号输入到电路模块中,比如按钮、断路器等。
接着,逻辑控制电路根据信号进行分析处理,从而决定电梯运行方向和到达目标位置。
最后,由输出部件接收处理后的信号,调节电梯设备的运行,使电梯达到用户指定的楼层和位置。
电梯控制电路的实际应用中,一种常见的输入设备是按钮。
按钮可以指定电梯运行方向,以及用户想要到达的楼层和位置,而断路器则是连接电源的开关,同时也可以控制电
梯的运行状态。
此外,接口单元也是控制电路中不可缺少的部件,它不仅可用于连接各种
传感器和电机,还可对信号进行预处理和采样,从而实现安全性。
为了保证电梯的运行安全,控制电路通常需要连接继电器、接触器或控制台,来保证
电梯只能从合理的位置移动,且可以精确地控制电梯的每一步运行,最大限度地减少安全
隐患。
总的来说,电梯控制电路可以实现电梯的安全有效的操作,提高安全性和质量,为
客户提供更好的体验。
电梯控制系统课程设计
电梯控制系统课程设计一、课程目标知识目标:1. 让学生理解电梯控制系统的基础知识,掌握其工作原理和关键部件的功能。
2. 使学生掌握电梯控制系统的设计流程,了解不同类型电梯的控制策略。
3. 帮助学生了解电梯控制系统相关的安全规范和标准。
技能目标:1. 培养学生运用所学知识分析和解决实际电梯控制问题的能力。
2. 提高学生设计电梯控制系统的方案并进行模拟调试的能力。
3. 培养学生查阅资料、团队协作和沟通表达的能力。
情感态度价值观目标:1. 培养学生对电梯控制系统及工程技术领域的兴趣,激发他们的求知欲。
2. 培养学生严谨认真、一丝不苟的科学态度,提高他们的工程素养。
3. 引导学生关注电梯安全,增强社会责任感和使命感。
分析课程性质、学生特点和教学要求,将课程目标分解为以下具体学习成果:1. 学生能够描述电梯的基本结构、工作原理及关键部件的作用。
2. 学生能够根据实际需求,设计合适的电梯控制方案并进行模拟调试。
3. 学生能够通过查阅资料、团队协作,撰写电梯控制系统设计报告。
4. 学生能够在课堂上积极参与讨论,主动分享自己的观点和经验。
5. 学生能够关注电梯安全,提高安全意识,自觉遵守相关规范和标准。
二、教学内容本章节教学内容依据课程目标,紧密结合课本,确保科学性和系统性。
具体安排如下:1. 电梯基本结构与原理- 介绍电梯的组成部分,如曳引机、导轨、轿厢、对重等。
- 阐述电梯的工作原理,包括曳引、导向、轿厢和对重平衡等。
2. 电梯控制系统设计流程- 分析电梯控制系统的设计步骤,如需求分析、方案设计、硬件选型、软件编程等。
- 结合教材章节,讲解不同类型电梯的控制策略。
3. 电梯控制系统的安全规范与标准- 引导学生了解我国电梯安全规范和相关标准。
- 分析电梯控制系统在设计过程中应考虑的安全因素。
4. 电梯控制系统设计与模拟调试- 指导学生运用所学知识,设计简单的电梯控制方案。
- 组织学生进行模拟调试,验证方案的可行性。
电梯控制数电课程设计
电梯控制数电课程设计一、课程目标知识目标:1. 让学生理解数字电路的基本原理,掌握电梯控制系统中数字电路的应用。
2. 使学生掌握电梯控制系统的基本组成,了解各部分功能及其相互关系。
3. 帮助学生掌握电梯运行过程中数字电路的控制逻辑。
技能目标:1. 培养学生运用所学知识分析和解决实际问题的能力,能设计简单的电梯控制数字电路。
2. 提高学生的动手操作能力,能正确搭建和调试电梯控制数字电路。
3. 培养学生团队协作和沟通能力,能在小组合作中发挥各自优势,共同完成项目任务。
情感态度价值观目标:1. 培养学生对数字电路和电梯控制技术的兴趣,激发他们探索未知、勇于创新的科学精神。
2. 增强学生的安全意识,使他们认识到电梯控制系统在实际应用中的重要性。
3. 培养学生具备良好的职业道德,关注社会发展,为我国电梯行业的发展贡献自己的力量。
课程性质:本课程为选修课程,结合理论知识与实践操作,培养学生的实际应用能力。
学生特点:学生具备一定的数字电路基础知识,对电梯控制技术有一定了解,喜欢动手实践,善于团队合作。
教学要求:注重理论与实践相结合,提高学生的实际操作能力,鼓励学生主动探索,培养创新意识。
在教学过程中,关注学生的个体差异,因材施教,使每位学生都能在原有基础上得到提高。
通过课程目标的实现,为学生后续学习电梯控制技术及相关领域知识打下坚实基础。
二、教学内容1. 数字电路基础:复习数字电路的基本概念、逻辑门电路、触发器等基础知识,为电梯控制系统数字电路的分析和设计打下基础。
教材章节:第1章 数字电路基础2. 电梯控制系统概述:介绍电梯控制系统的基本组成、工作原理和性能指标,使学生了解电梯控制系统的整体框架。
教材章节:第2章 电梯控制系统概述3. 电梯控制数字电路设计:讲解电梯控制中常用的数字电路,如定时器、计数器、译码器等,分析它们在电梯控制系统中的应用。
教材章节:第3章 电梯控制数字电路设计4. 电梯控制逻辑分析:通过对电梯运行过程中各种控制逻辑的分析,使学生掌握电梯控制系统的逻辑设计方法。
plc电梯控制设计课程设计
plc电梯控制设计课程设计一、课程目标知识目标:1. 学生能理解PLC(可编程逻辑控制器)的基本原理和在电梯控制系统中的应用。
2. 学生能够掌握电梯控制系统的基本组成部分,如传感器、执行器和控制算法。
3. 学生能够描述电梯运行的常见控制逻辑,例如启动、停止、楼层显示、门控制等。
技能目标:1. 学生能够运用PLC编程软件进行电梯控制逻辑的设计和编程。
2. 学生能够通过实际操作,测试并优化电梯控制程序,保证其稳定运行。
3. 学生能够分析和解决电梯控制过程中出现的常见问题。
情感态度价值观目标:1. 学生能够认识到PLC技术在电梯控制中的重要性,增强对工业自动化技术的兴趣。
2. 学生通过小组合作,培养团队协作精神和沟通能力。
3. 学生能够意识到技术在实际应用中的责任和安全性,培养负责任的工作态度。
课程性质分析:本课程为应用实践型课程,侧重于PLC技术在电梯控制中的应用。
课程设计紧密结合实际工程案例,注重培养学生的动手操作能力和问题解决能力。
学生特点分析:考虑到学生处于高年级,已具备一定的电气工程基础和PLC编程知识,课程目标设定在提高学生的综合应用能力和工程实践能力。
教学要求:1. 教学内容与课本知识紧密结合,确保学生所学知识能够应用于实际工程案例。
2. 教学过程注重培养学生的实践操作能力和创新思维,鼓励学生自主探索和解决问题。
3. 评估方式侧重于过程性评价,关注学生在项目实施过程中的表现和成果。
二、教学内容1. PLC基本原理回顾:包括PLC的工作原理、结构组成及其在工业控制中的应用。
相关教材章节:第一章 PLC概述。
2. 电梯控制系统基础:介绍电梯控制系统的组成,如传感器、执行器、控制柜等。
相关教材章节:第二章 电梯控制系统基础。
3. PLC编程软件操作:讲解PLC编程软件的使用方法,进行基本逻辑程序的编写和调试。
相关教材章节:第三章 PLC编程软件操作。
4. 电梯控制逻辑设计:分析电梯控制逻辑,如启动、停止、楼层显示、门控制等,并进行编程实现。
数电实验报告-简易三层电梯控制器
数字电路与逻辑设计实验简易电梯控制器实验报告电子工程学院2010211205班张阗目录一、功能设计 (3)1、电梯运行规则 (3)2、实验板硬件实现 (3)二、程序架构 (4)1、分频模块 (5)2、状态机模块 (5)3、寄存器模块 (6)4、外部显示模块 (6)三、程序代码 (7)四、结果仿真 (17)五、实验心得 (20)一、功能设计1、电梯运行规则电梯初始状态为一层开门状态。
电梯外部设有1层请求上升、2层请求下降、2层请求上升、3层请求下降按钮;内部设有1层到达、2层到达、3层到达、关门按钮。
可显示电梯当前所在楼层、外部请求楼层、内部请求楼层。
可现实上升或下降状态。
电梯每秒上升(下降)一层楼。
电梯到达需要停止的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。
电梯需要寄存器来记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。
当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号和停站请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接上升到有下楼请求的最高层,然后进入下降模式。
当电梯处于下降模式时则与上升模式相反。
2、实验板硬件实现(1)控制部分(输入):(2)显示部分(输出):二、程序架构图1 程序架构1、分频模块外部时钟频率为50M,通过分频模块后得到不同功能对应的频率,作为其他模块的时钟输入,包括:状态机模块中的电梯控制时钟、寄存器模块中的按键保存时钟、外部显示模块中的数码管扫描时钟和点阵扫描时钟。
2、状态机模块状态机模块包括十个状态,分别为:stopon1(位于1层),dooropen(开门),doorclose(关门),doorwait1(开门等待第1秒),doorwait2(开门等待第2秒),doorwait3(开门等待第3秒),doorwait4(开门等待第4秒),up(上升),down(下降),stop(停止)。