EDA仿真实验报告

合集下载

EDA-实验报告

EDA-实验报告

实验一五人表决器设计一、实验目的1 加深对电路理论概念的理解3 加深计算机辅助分析及设计的概念4 了解及初步掌握对电路进行计算机辅助分析的过程二、实验要求制作一个五人表决器,共五个输入信号,一个输出信号。

若输入信号高电平数目多于低电平数目,则输出为高,否则为低。

三、实验原理根据设计要求可知,输入信号共有2^5=32种可能,然而输出为高则有15种可能。

对于本设计,只需一个模块就能完成任务,并采用列写真值表是最简单易懂的方法。

四、计算机辅助设计设A,B,C,D,E引脚为输入引脚,F为输出引脚。

则原理图如1所示图1.1 五人表决器原理图实验程序清单如下:MODULE VOTEA,B,C,D,E PIN;F PIN ISTYPE 'COM';TRUTH_TABLE([A,B,C,D,E]->[F])[0,0,1,1,1]->[1];[0,1,1,1,0]->[1];[0,1,0,1,1]->[1];[0,1,1,0,1]->[1];[1,0,1,1,1]->[1];[1,1,0,1,1]->[1];[1,1,1,0,1]->[1];[1,1,1,1,0]->[1];[1,1,1,0,0]->[1];[1,1,0,1,0]->[1];[1,1,1,1,1]->[1];[1,1,0,0,1]->[1];[1,0,0,1,1]->[1];[1,0,1,0,1]->[1];[1,0,1,1,0]->[1];END五、实验测试与仿真根据题目要求,可设输入分别为:0,0,0,0,0;1,1,1,1,1;1,0,1,0,0;0,1,0,1,1。

其测试程序如下所示:MODULE fivevoteA,B,C,D,E,F PIN;X=.X.;TEST_VECTORS([A,B,C,D,E]->[F])[0,0,0,0,0]->[X];[1,1,1,1,1]->[X];[1,0,1,0,0]->[X];[0,1,0,1,1]->[X];END测试仿真结果如图1.2所示:图1.2 五人表决器设计仿真图可知,设计基本符合题目要求。

EDA实验报告完结版

EDA实验报告完结版

EDA实验报告完结版一、实验目的本次 EDA 实验的主要目的是通过实际操作和设计,深入理解和掌握电子设计自动化(EDA)技术的基本原理和应用。

具体而言,包括熟悉 EDA 工具的使用方法,学会运用硬件描述语言(HDL)进行逻辑电路的设计与描述,以及通过综合、仿真和实现等流程,将设计转化为实际的硬件电路,并对其性能进行评估和优化。

二、实验环境本次实验所使用的 EDA 工具为_____,该工具提供了丰富的功能模块和强大的设计支持,包括原理图编辑、HDL 代码编写、综合、仿真和下载等。

实验所使用的硬件平台为_____开发板,其具备多种接口和资源,便于对设计的电路进行实际验证和测试。

三、实验内容1、基本逻辑门电路的设计与实现使用 HDL 语言(如 Verilog 或 VHDL)设计常见的基本逻辑门电路,如与门、或门、非门等。

通过编写代码,对逻辑门的输入输出关系进行描述,并进行综合和仿真,验证设计的正确性。

2、组合逻辑电路的设计与实现设计并实现较为复杂的组合逻辑电路,如加法器、减法器、编码器、译码器等。

运用 HDL 语言描述电路的功能,进行综合和仿真,确保电路在各种输入情况下的输出结果符合预期。

3、时序逻辑电路的设计与实现设计常见的时序逻辑电路,如计数器、寄存器、移位寄存器等。

在设计过程中,考虑时钟信号、同步复位和异步复位等因素,通过仿真验证时序逻辑的正确性,并对电路的性能进行分析。

4、有限状态机(FSM)的设计与实现设计一个有限状态机,实现特定的功能,如交通信号灯控制器、数字密码锁等。

明确状态转移条件和输出逻辑,通过编写 HDL 代码实现状态机,并进行综合和仿真,验证其功能的准确性。

5、综合与优化对设计的电路进行综合,生成门级网表,并通过优化工具对电路进行面积、速度等方面的优化,以满足特定的设计要求。

6、硬件实现与测试将综合后的设计下载到硬件开发板上,通过实际的输入输出信号,对电路的功能进行测试和验证。

观察电路在实际运行中的表现,对出现的问题进行分析和解决。

EDA实验报告 (2)

EDA实验报告 (2)

实验一QUARTUS II软件安装、基本界面及设计入门一、实验目的:QUARTUSII是Altera公司提供的EDA工具,是当今业界最优秀的EDA设计工具之一。

提供了一种与结构无关的设计环境,使得电子设计人员能够方便地进行设计输入、快速处理和器件编程。

通过本次实验使学生熟悉QUARTUSII软件的安装,基本界面及基本操作,并练习使用QUARTUS的图形编辑器绘制电路图。

二、实验内容:1、安装QUARTUSII软件;2、熟悉QUARTUSII基本界面及操作;3通过一个4位加法器的设计实例来熟悉采用图形输入方式进行简单逻辑设计的步骤。

三、实验仪器:1、PC机一台;2、QUARTUSII软件;3、EDA实验箱。

四、实验原理:4位加法器是一种可实现两个4位二进制数的加法操作的器件。

输入两个4位二进制的被加数A和B,以及输入进位Ci,输出为一个4位二进制和数D和输出进位数Co。

半加操作就是求两个加数A、B的和,输出本位和数S及进位数C。

全加器有3位输入,分别是加数A、B和一个进位Ci。

将这3个数相加,得出本位和数(全加和数)D和进位数Co。

全加器由两个半加器和一个或门组成。

五、实验步骤:安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。

设计半加器:在进行半加器模块逻辑设计时,采用由上至下的设计方法,在进行设计输入时,需要由下至上分级输入,使用QuartusIIGraphic Editor进行设计输入的步骤如下。

(1)、打开QUARTUSII软件,选择File-new project wizard…新建一个设计实体名为has的项目文件;(2)、新建文件,在block.bdf窗口下添加元件符号,并连接。

如下图:半加器原理图(3)、将此文件另存为has.gdf的文件。

(4)、在主菜单中选择Processing→Start Compilation命令,系统对设计进行编译,同时打开Compilation Report Flow Summary窗体,Status视图显示编译进程。

eda仿真实验报告

eda仿真实验报告

eda仿真实验报告EDA仿真实验报告一、引言EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术对电子设计进行辅助、自动化的过程。

在现代电子设计中,EDA仿真是不可或缺的一环,它可以帮助工程师验证电路设计的正确性、性能和可靠性。

本篇报告将介绍我在EDA仿真实验中的经验和收获。

二、实验背景本次实验的目标是对一个数字电路进行仿真,该电路是一个4位加法器,用于将两个4位二进制数相加。

通过仿真,我们可以验证电路设计的正确性,并观察其在不同输入情况下的输出结果。

三、实验步骤1. 电路设计:首先,我们根据给定的要求和电路原理图进行电路设计。

在设计过程中,我们需要考虑电路的逻辑关系、时序要求以及输入输出端口的定义等。

2. 仿真环境搭建:接下来,我们需要选择合适的EDA仿真工具,并搭建仿真环境。

在本次实验中,我选择了Xilinx ISE Design Suite作为仿真工具,并创建了一个仿真项目。

3. 仿真测试向量生成:为了对电路进行全面的测试,我们需要生成一组合适的仿真测试向量。

这些测试向量应该覆盖了电路的所有可能输入情况,以验证电路的正确性。

4. 仿真运行:在仿真环境搭建完成后,我们可以开始进行仿真运行了。

通过加载测试向量,并观察仿真结果,我们可以判断电路在不同输入情况下的输出是否符合预期。

5. 仿真结果分析:仿真运行结束后,我们需要对仿真结果进行分析。

通过对比仿真输出和预期结果,可以判断电路设计的正确性。

如果有不符合预期的情况,我们还可以通过仿真波形分析,找出问题所在。

四、实验结果与讨论在本次实验中,我成功完成了4位加法器的仿真。

通过对比仿真输出和预期结果,我发现电路设计的正确性得到了验证。

无论是正常情况下的加法运算,还是特殊情况下的进位和溢出,电路都能够正确地输出结果。

在实验过程中,我还发现了一些有趣的现象。

例如,在输入两个相同的4位二进制数时,电路的输出结果与输入完全一致。

EDA实验报告单极放大电路的设计和仿真

EDA实验报告单极放大电路的设计和仿真

摘 要本文包括了三个设计实验:单级放大电路、负反馈放大电路和阶梯波发生电路。

通过对这些模拟电路的设计与仿真,给出了实验原理图,并将实验结果与理论值进行了比较,得出相对误差。

实验一设计了一个分压偏置的单管电压放大电路,通过调节电路静态工作点,观察电路出现饱和失真和截止失真的输出信号波形,并测试了对应的静态工作点值。

在最大不失真条件下测试了电路的静态工作点,三极管的输入输出特性曲线和β,be r ,ce r 的值,电路的输入输出电阻和电压增益,电路的频率响应曲线。

实验二设计了一个阻容耦合两级电压放大电路,第一级为差分放大电路,第二级是射级输出放大器。

并给电路引入了电压串联负反馈,测试了负反馈接入前后电路放大倍数、输入、输出电阻和频率特性。

通过改变输入信号幅度,观察并记录了负反馈对电路非线性失真的影响。

实验三设计了一个周期性下降阶梯波电路,对电路进行了分段测试和调节,直至输出合适的阶梯波。

通过改变电路元器件参数,观察输出波形的变化,确定了影响阶梯波电压范围和周期的元件。

最后针对该实验作进一步探索,设计出了上升阶梯波。

关键词 单级放大电路 负反馈电路阶梯波 仿真目次摘要…………………………………………………………………………错误!未定义书签。

实验一单极放大电路的设计和仿真……………………………………错误!未定义书签。

一实验目的……………………………………………………………………………错误!未定义书签。

二实验要求……………………………………………………………………………错误!未定义书签。

三实验步骤 (5)四实验小结 (16)实验二负反馈放大电路的设计与仿真…………………………………错误!未定义书签。

一实验目的 (17)二实验要求 (17)三实验步骤 (18)四实验小结 (26)实验三阶梯波发生器电路的设计………………………………………错误!未定义书签。

一实验目的 (28)二实验要求 (28)三实验步骤 (28)四实验小结 (48)实验心得 (49)参考文献 (50)实验一 单级放大电路的设计与仿真一、实验目的1. 设计一个分压偏置的单管电压放大电路,要求信号源频率10kHz(峰值1mV) ,负载电阻10k Ω,电压增益大于100。

EDA实验报告单极放大电路的设计和仿真

EDA实验报告单极放大电路的设计和仿真

EDA实验报告单极放大电路的设计和仿真一、实验目的本实验旨在通过设计和仿真单极放大电路,掌握电路设计及仿真的方法和技巧,了解单极放大电路的工作原理以及参数的计算方法。

二、实验设备和材料1.EDA仿真软件2.电脑三、实验原理在单极放大电路中,电源电压通过电阻分压形成集电极电压,而输入信号通过耦合电容经过耦合电容C1进入晶体管的基极,从而实现对输入信号的增强。

四、实验步骤及数据记录1.确定电源电压:根据实验要求,选择适当的电源电压。

2.选择晶体三极管型号:根据实验要求和设计要求,选择适合的晶体三极管型号。

3.计算电阻值:根据单极放大电路的工作原理,计算电阻的取值范围,并选择合适的电阻值。

4.设计电路连接方式:将电源、电阻、晶体三极管按照电路原理进行连接并设计电路图。

5.仿真电路:使用EDA仿真软件,将设计好的电路连接到仿真软件中。

6.设置仿真参数:设置仿真参数,包括电源电压、工作频率等。

7.运行仿真:运行仿真程序,获取仿真结果。

8.分析结果:根据仿真结果,分析电路的工作情况,包括输出电压增益、输入输出阻抗等。

9.修改参数:根据分析结果,对电路参数进行调整,重新进行仿真。

10.重复步骤6-9,直到仿真结果满足设计要求。

五、实验结果分析通过仿真,得到了单极放大电路的工作情况如下:1.输出电压增益:根据仿真结果,计算得到了单极放大电路的输出电压增益为X。

2.输入输出阻抗:根据仿真结果,计算得到了单极放大电路的输入阻抗为Y,输出阻抗为Z。

3.波形分析:通过仿真软件,获取到了输入信号和输出信号的波形,并进行比较分析。

六、实验结论通过设计和仿真单极放大电路,了解了电路设计及仿真的方法和技巧。

掌握了单极放大电路的工作原理以及参数的计算方法,并通过仿真分析得到了相关结果。

EDA实验报告含结果图

EDA实验报告含结果图

EDA电子课程实验报告专业:班级:姓名:学号:实验一四人表决器一实验目的1、熟悉Quartus II软件的使用。

2、熟悉EDA-IV实验箱。

3、熟悉EDA开发的基本流程。

二硬件需求1、RC-EDA-IV型实验箱一台;2、RC-EDA-IV型实验箱配套USB-Blaster下载器一个;3、PC机一台。

三实验原理所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。

四人表决器顾名思义就是由四个人来投票,当同意的票数大于或者等于3人时,则认为同意;反之,当否决的票数大于或者等于2人时,则认为不同意。

实验中用4个拨挡开关来表示4个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。

表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。

四实验内容VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--------------------------------------------------------------------entity EXP3 isport(k1,K2,K3,K4 : in std_logic;ledag : out std_logic_vector(3 downto 0);m_Result : out std_logic);end EXP3;--------------------------------------------------------------------architecture behave of EXP3 issignal K_Num : std_logic_vector(2 downto 0); signal K1_Num,K2_Num: std_logic_vector(2 downto 0); signal K3_Num,K4_Num: std_logic_vector(2 downto 0);beginprocess(K1,K2,K3,K4)beginK1_Num<='0'&'0'&K1;K2_Num<='0'&'0'&K2;K3_Num<='0'&'0'&K3;K4_Num<='0'&'0'&K4;end process;process(K1_Num,K2_Num,K3_Num,K4_Num,)beginK_Num<=K1_Num+K2_Num+K3_Num+K4_Num;end process;process(K_Num) beginif(K_Num>2) thenm_Result<='1';elsem_Result<='0';end if;end process;end behave;实验电路实验二格雷码转换一实验目的1、了解格雷码变换的原理。

eda实验报告完整版

eda实验报告完整版

eda实验报告完整版EDA实验报告一、文献综述EDA,全称为Exploratory Data Analysis,是一种数据探索性分析方法。

EDA通过多种可视化工具和数据分析技术快速探索数据集的特征和结构,从而发现其中的规律和异常,确定数据的可靠性和种类。

EDA的主要目的在于对数据进行全面的分析和理解,为后续的数据处理和建模提供参考。

EDA作为数据预处理的重要步骤,在数据分析和建模中占据着重要的地位。

目前,随着数据收集、存储和分析技术的快速发展,EDA正在成为数据分析中不可缺少的部分。

在大数据时代,EDA的发展已经超越了其传统的数据探索性分析功能,成为了快速调试和优化模型的重要手段。

二、实验目的本次实验旨在掌握EDA技术方法和可视化工具,在实际数据集中进行数据预处理和探索性分析。

主要目标包括:1.掌握常用的EDA方法和可视化工具。

2.通过对实际数据集处理和分析,了解数据的特征和结构。

3.确定数据集的质量、可靠性和种类。

4.为后续的数据处理和建模提供参考。

三、实验流程1.数据集的加载和清洗本次实验选用的数据集为Iris数据集,包含了鸢尾花的三个品种(Setosa、Versicolour、Virginica)的四个特征(sepal length、sepal width、petal length、petal width)共150个样本。

由于Iris数据集已经经过处理,因此不需要进行特殊的预处理。

为了更好地探索Iris数据集,我们将其存储为dataframe格式,以方便进行数据的各类统计和可视化。

2.数据特征的可视化在数据特征的可视化中,我们使用了多种可视化工具包括:ggplot2和ggpubr。

下面是我们在R语言环境下所使用的代码。

# 加载ggplot2和ggpubrlibrary(ggplot2)library(ggpubr)#加载Iris数据集data("iris")df = iris# 1.绘制直方图hist <- ggplot(df, aes(x = Sepal.Length)) +geom_histogram(fill = "blue", alpha = .5, bins = 30) +ggtitle("Distribution of Sepal.Length")# 2.绘制密度图density <- ggplot(df, aes(x = Sepal.Width, fill = Species)) +geom_density(alpha = .5) +scale_fill_manual(values = c("#00AFBB", "#E7B800", "#FC4E07")) +ggtitle("Density plot of Sepal.Width")# 5.绘制箱线图boxplot <- ggplot(df, aes(x = Species, y = Sepal.Length, fill = Species)) + geom_boxplot() +ggtitle("Boxplot of Sepal.Length by Species")上述代码会生成6个图表,分别为直方图、密度图、散点图、热力图、箱线图和柱状图。

EDA实验七八实验报告(1)

EDA实验七八实验报告(1)

实验七序列检测器的VHDL设计(1)实验目的:用状态机实现序列检测器的设计,了解一般状态机的设计与应用。

(2)实验原理:序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出 1,否则输出 0。

由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。

在检测过程中,任何一位不相等都将回到初始状态重新开始检测。

书上P168例5-11 描述的电路完成对序列数”11100101”的检测,当这一串序列数高位在前(左移)串行进入检测器后,若此数与预置的密码数相同,则输出”A”,否则仍然输出”B”。

(3)实验内容 1:用VHDL状态机设计一个8位序列信号检测器。

实验程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SCHK ISPORT (DIN,CLK,CLR: IN STD_LOGIC;AB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END ENTITY SCHK;ARCHITECTURE ONE OF SCHK ISSIGNAL Q : INTEGER RANGE 0 TO 8;SIGNAL D : STD_LOGIC_VECTOR(7 DOWNTO 0);BEGIND<="11100101";PROCESS(CLK,CLR)BEGINIF CLR='1' THEN Q<=0;ELSIF CLK'EVENT AND CLK='1' THENCASE Q ISWHEN 0=> IF DIN=D(7) THEN Q<=1; ELSE Q<=0; END IF;WHEN 1=> IF DIN=D(6) THEN Q<=2; ELSE Q<=0; END IF;WHEN 2=> IF DIN=D(5) THEN Q<=3; ELSE Q<=0; END IF;WHEN 3=> IF DIN=D(4) THEN Q<=4; ELSE Q<=0; END IF;WHEN 4=> IF DIN=D(3) THEN Q<=5; ELSE Q<=0; END IF;WHEN 5=> IF DIN=D(2) THEN Q<=6; ELSE Q<=0; END IF;WHEN 6=> IF DIN=D(1) THEN Q<=7; ELSE Q<=0; END IF;WHEN 7=> IF DIN=D(0) THEN Q<=8; ELSE Q<=0; END IF;WHEN OTHERS=> Q<=0;END CASE;END IF;END PROCESS;PROCESS(Q)BEGINIF Q=8 THEN AB<="1010";ELSE AB<="1011";END IF;END PROCESS;END ARCHITECTURE ONE;实验步骤如下:1 将源程序以SCHK.vhd的形式存入D盘名为liulin的文件夹中2 全程编译3 时序仿真4 引脚锁定和下载引脚锁定如下:CLR-PIN34;CLK-PIN32;DIN- PIN33;AB[0]- PIN77、 AB[1]- PIN78、AB[2]- PIN83、 AB[3]- PIN84;5 实际测试时序仿真波形如下图:实验分析:选择电路模式 No.8 。

EDA实验报告(同名8284)

EDA实验报告(同名8284)

EDA设计实验报告0710200321雷宇目录一.实验一 (5)1.实验目的 (5)2.实验要求 (5)3.实验电路 (6)4实验过程 (6)4.1饱和失真 (7)4.2截止失真 (9)4.3不失真情况下电路 (11)(1)电压增益 (11)(2)静态工作点 (12)(3)输入电阻 (12)(4)输出电阻 (14)(5)频率响应曲线和f L、f H值 (14)5.实验一总结 (16)二.实验二 (17)1.实验目的 (17)2.实验要求 (17)3.实验过程 (17)3.1实验原理图 (17)3.2未接入负反馈电路 (18)(1)频率特性和fL、fH值 (18)(2)输出开始出现失真时的输入信号幅度 (19)(3)未接入负反馈电路的放大倍 (21)(4)未接入负反馈电路的输入电阻 (21)(5)未接入负反馈电路输出电阻 (22)3.3负反馈电路 (23)(1)频率特性和fL、fH值 (23)(2)输出开始出现失真时的输入信号幅度 (24)(3)负反馈电路的放大倍数 (25)(4)负反馈电路的输入电阻 (26)(5)负反馈电路的输出电阻 (27)(6)验证A F 1/F (28)4.实验二总结 (28)三.实验三 (29)1.实验内容 (29)2.实验原理 (29)3.实验过程 (30)3.1方波发生器 (30)3.2微分电路 (31)3.3限幅电路 (33)3.4积分累加电路 (34)3.5阶梯波周期 (35)3.6调试元件参数 (36)4.实验三总结 (37)四.全文总结 (38)五.参考文献 (39)实验一:单级放大电路一、实验目的1、通过设计三极管的单级放大电路,了解三极管放大电路的动态工作点和静态工作点的设置方法,使其对放大电路原理有更加深刻的理解。

2、通过这种单级放大电路的设计与分析、仿真,学会使用Multisim 7.0软件对电路进行动态分析、静态分析及频率分析等方法。

能较为熟练的掌握Multisim7.0的用法。

EDA的实验报告

EDA的实验报告

杭州电子科技大学实验报告实验课程名称实实班姓学指导教验验序内号容级名号师eda技术 1 分频器与频率计设计 123 吕文 123 黄某二○一四年 4月 18 日一、实验的目的与要求实验名称:分频器与频率计设计实验目的:1、初识verilog hdl语言熟练verilog 的语法2、学习quartus调用modelsim进行仿真3、掌握用fpga实现简易的分频器与频率计的原理与方法实验要求:1、设计一个可控分频器,输入20mhz或 12mhz 时钟(可选择其中一种),输出100hz~10khz,输出100hz ~ 10khz,输出频率数控可调(按键或者使用in-system sources and probes),输出波形占空比为50%,接蜂鸣器;2、设计一个简易频率计,输入为方波,测量频率的范围100hz ~ 9999hz ,测量精度&lt;1%,频率计输出可以接数码管或者使用in-system sources and probes观察3、分频器输出接频率计的输入二、实验原理分频器的原理:把输入的信号作为计数脉冲,由于计数器的输出端口是按一定规律输出脉冲的,所以对不同的端口输出的信号脉冲,就可以看作是对输入信号的”分频“。

频率计是对信号的频率进行测量并显示测量结果。

原理就是在1秒钟内对时钟计数,得到的数字就是频率大小。

频率计的设计是用一个标准的时钟20mhz来做参照,以1s钟为周期,为20000000个周期,同时定义一个计数的变量q ,当输入的端口出现上升沿的时候,变量加1,那么在一秒钟内cout的数值即为,该波形的频率。

最后将分频器的输出端口接入频率计的输入端口,用频率计来测量波形的频率大小,通过比较实际的频率fre1与测出来的频率大小fre2,就知道了该频率计的误差。

三、实验内容实验步骤 1、大概的把框架建起来,把思路想好2、先设计一个符合要求的分频器3、进行仿真,看效果3、再设计一个符合要求的频率计4、用modelsim进行仿真5、把这两部分连接起来,最后进行仿真得到结果6、得到频率计的测频误差本实验分频器的时钟是20mhz,分频出来的是100hz~10khz的波形,那么就定义一个变量当做分频比[17:0] div ,可以用按键来控制div的大小,继而实现分频出来的大小。

(完整word版)EDA实验报告

(完整word版)EDA实验报告

计算机学院计算机科学与技术专业1班____组、学号姓名协作者______________ 教师评定_________________实验题目_________基于Libero的数字逻辑设计仿真及验证实验_________1、熟悉EDA工具的使用;仿真基本门电路。

2、仿真组合逻辑电路。

3、仿真时序逻辑电路。

4、基本门电路、组合电路和时序电路的程序烧录及验证。

5、数字逻辑综合设计仿真及验证。

实验报告1、基本门电路一、实验目的1、了解基于Verilog的基本门电路的设计及其验证。

2、熟悉利用EDA工具进行设计及仿真的流程。

3、学习针对实际门电路芯片74HC00、74HC02、74HC04、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。

二、实验环境Libero仿真软件。

三、实验内容1、掌握Libero软件的使用方法。

2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。

3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC04、74HC08、74HC32、74HC86相应的设计、综合及仿真。

)4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个....的综合结果,以及相应的仿真结果。

四、实验结果和数据处理1、所有模块及测试平台代码清单..(完整word版)EDA实验报告//74HC00代码-与非module HC00(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=~(A&B);//与非endmodule//74HC00测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;(完整word版)EDA实验报告endendmodule//74HC02代码-或非module HC02(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=~(A|B);//或非endmodule//74HC02测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;(完整word版)EDA实验报告#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC04代码-非module HC04(A,Y);input [4:1]A;output [4:1]Y;assign Y=~A;endmodule//74HC04测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;(完整word版)EDA实验报告#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC08代码-与module HC08(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A&B;endmodule//74HC08测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegin(完整word版)EDA实验报告a=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC32代码-或module HC32(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A|B;endmodule//74HC32测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;(完整word版)EDA实验报告HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC86代码-异或module HC86(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A^B;endmodule//74HC86测试平台代码`timescale 1ns/1ns(完整word 版)EDA 实验报告module testbench(); reg [4:1] a,b; wire [4:1] y;HC00 u1(a,b,y);initial begin a=4'b0000;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1;a=4'b1111;b=4'b0001; #10 b=b<<1; #10 b=b<<1;#10 b=b<<1;endendmodule2、第一次仿真结果(任选一个....门,请注明,......插入截图,.....下同..)。

EDA实验报告(包含多个实验)

EDA实验报告(包含多个实验)

海南大学EDA实验报告学院:信息科学与技术学院专业班级:09理科实验班课程:EDA任课教师:***姓名:***学号:**************实验一 MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。

三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。

它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。

4位全加器设计一个4位全加器可以由4个1位全加器构成,如图1.1所示,1位的全加器串行联接可以实现4位的二进制全加器。

图1.1 4位全加器电路原理图1位全加器可以由两个半加器和一个或门构成,如图1.2所示。

图1.2 全加器电路原理图1位半加器可以由与、或、非等基本门构成,如图1.3所示。

图1.3 半加器电路原理图根据实验原理中,采用层次法设计一个4位全加器。

四、实验步骤1、如图1.3所示,利用MAX-plusⅡ中的图形编辑器设计一半加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。

注意:编译之前必须将文件设为当前文件。

2、建立一个更高得原理图设计层次,如图1.2所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。

3、再建立一个更高得原理图设计层次,如图1.1所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真。

五、实验报告要求:详细描述4位全加器的设计过程,给出各层的电路原理图、元件图(原理图)以及对应的仿真波形;给出加法器的延时情况;最后给出硬件测试的流程和结果。

1)半加器图半加器仿真图2)全加器图全加器仿真图3)四位全加器仿真图实验二十进制计数器一、实验目的学习时序电路的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。

EDA实验报告

EDA实验报告

EDA实验报告一、实验目的本次 EDA 实验的主要目的是熟悉电子设计自动化(EDA)软件的使用,掌握数字电路的设计、仿真和实现流程,提高对数字逻辑电路的理解和设计能力。

二、实验设备与环境1、计算机一台2、 EDA 软件(如 Quartus II 等)三、实验原理1、数字逻辑基础数字电路中的基本逻辑门包括与门、或门、非门、与非门、或非门等。

通过这些基本逻辑门的组合,可以实现各种复杂的数字逻辑功能。

2、组合逻辑电路组合逻辑电路的输出仅取决于当前的输入,不存在存储单元。

常见的组合逻辑电路有加法器、编码器、译码器等。

3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的过去状态有关。

常见的时序逻辑电路有计数器、寄存器等。

四、实验内容1、设计一个简单的加法器使用基本逻辑门设计一个两位加法器,输入为两个两位的二进制数A 和 B,输出为它们的和 S 以及进位 C。

2、设计一个 4 位计数器实现一个 4 位的计数器,能够在时钟信号的上升沿进行计数,计数范围为 0 到 15。

3、设计一个数码管显示译码器将输入的 4 位二进制数转换为数码管的 7 段显示编码,实现数字 0 到 9 的显示。

五、实验步骤1、加法器设计(1)打开 EDA 软件,创建一个新的项目。

(2)使用原理图输入方式,绘制出加法器的逻辑电路图,包括两个半加器和一个或门。

(3)对设计进行编译,检查是否存在语法错误。

(4)创建仿真文件,设置输入信号的激励,进行功能仿真,观察输出结果是否符合预期。

2、计数器设计(1)在项目中新建一个模块,使用 Verilog HDL 语言描述计数器的功能。

(2)编写测试代码,对计数器进行仿真验证。

(3)将计数器下载到硬件开发板上,通过观察实际的输出结果验证其功能。

3、数码管显示译码器设计(1)同样使用原理图输入方式,设计数码管显示译码器的逻辑电路。

(2)进行编译和仿真,确保译码器的功能正确。

(3)将译码器与计数器连接起来,实现数码管的动态显示。

EDA仿真实验报告

EDA仿真实验报告

EDA仿真实验报告姓名:学号:班级:一.实验目的1.了解EDA技术的发展、应用。

2.学习Multisim的使用。

二.实验内容1.与非门实现四舍五入2.用74LS138和必要的门电路设计一个表决电路3.用74LS85设计四位数值比较器三.实验软件与环境1.EDA技术EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。

2.EDA的应用EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。

主要是了解EDA的基本概念和基本原理、使用EDA的某种工具进行电子课程的实验并从事简单系统的设计,为今后工作打下基础。

3.MultisimMultisim是Interactive Image Technologies公司推出的以Windows 为基础的板级仿真工具,适用于模拟/数字线路板的设计。

本实验使用了NI Multisim 14.0 软件。

四.实验内容与步骤1.与非门实现四舍五入电路(只能用与非门)真值表:逻辑表达式:F=m(5,6,7,8,9)2.用74LS138和必要的门电路设计一个表决电路真值表:F=M'ABC+MA'BC+MAB'C+MABC'+MABC=MAB+MAC+MBC+ABC 电路方面要注意输出为低电平,所以要用与非门。

3.用74LS85设计四位数值比较器电路方面注意74LS85正确使用,控制端要接A=B以便使两个四位数从高位开始比较,如果高位相等再比较次高位,以此类推。

五.实验结果1.与非门实现四舍五入电路(只能用与非门)一共有三个图,分别是输入0(四舍),输入9(五入)和无效输入。

基于eda的实训心得_eda实训报告怎么写(精选17篇)

基于eda的实训心得_eda实训报告怎么写(精选17篇)

基于eda的实训心得_eda实训报告怎么写(精选17篇)基于eda的实训心得_eda实训报告怎么写篇1不到一周的EDA实训就这样结束了,虽然时间有些短暂,学习的有些仓促,但是这次实训我是认真的。

我没有像以往一样单一的照猫画虎,没有等待着参考别人的成果,而是一边画电路图,一边分析原理,遇到不会的,自己先勇于尝试,然后与同学交流。

虽然有很多地方仍然不是很明白,但是自己至少尽心尽力了。

初次使用Multisim软件,加之又是英文版的,会很吃力,我们可能找不准元器件,可能因不懂它的属性而用错,像这样的问题不是没出现过,就在完成实训第一题目时这些错误就出现了。

当时因为用错电阻的属性,导致仿真出的波形与别人不同,然而这个问题在当时困扰了我和同学很久,一直找不出问题出在哪里,后来还好有老师的指导,才找出问题的所在(我们用的是电流型电阻)。

实训内容包括了对电路、模拟电子、数字电路的简单操作,我们通过Multisim软件画出电路图,用虚拟的仪表对电路参数进行测量,用虚拟示波器对电路输入输出波形进行观测,这不仅让我们熟悉使用该软件,同时体验软件仿真在电路分析中的重要作用,利用该软件不仅可以准确测量各参量,还可帮助我们测试电路的性能。

它确实很方便实用。

虽然有了这种强大软件的帮助,但是对于我们这些初学者来说,必须学会自己分析电路原理,来判断测试结果。

电路、模电、数电是一年前学的,或许是因时间长,好多知识点被遗忘了,或许是当时就没将这三门功课学好,对知识点的生疏,导致实训的进行并不是很顺利,有时半天分析不出一个原理图。

实训时间很短,该软件的学习过程还很长,我不希望自己因实训结束而停止对其的认识和学习。

写到这,我想起前几天一位留学回国的姐说过的话:“你现在所学的那些专业软件,你必须深入了解和学习;就学校进行一到两礼拜的学习是远远不够的,自己课后必须加强学习”。

之前的实训机会已经被荒废了,现在的机会自己应该好好珍惜。

大学的美好时光所剩不多,如果觉得自己之前没有尽心尽力,那么接下来的时间自己好好珍惜吧。

EDA实验报告

EDA实验报告

微波电路EDA 实验报告实验一微带天线一、设计要求设计一个微带缝隙天线,工作频率3.75GHz,基片的介电常数为2.33,厚度为30mil。

天线采用内部端口馈电,开放边界条件(即基片处于空气中)。

要求画出天线的电磁结构图,设计匹配网络,使天线取得最大辐射功率。

对天线进行电磁仿真分析,观察二维及三维的电流分布情况。

记录微带天线的结构图、匹配电路,以及最终的匹配结果。

二、实验仪器硬件:PC机软件:Microwave Office软件三、设计步骤简要的写明主要设计步骤,计算的参数,创建的电路图、测量图。

具体的软件操作步骤不用写。

1、创建新工程。

并将单位设为:GHz,mil。

2、设置边界条件在Enclosure标签页,设单位:mil;X-Dimension=3000,X-Divisions=60,Y-Dimension=3000,X-Dvisions=60;定义介质层:Layer1,Thickness项设为300,er设为1;Layer2,Thickness项设为30,er设为2.33;Layer3,Thickness项设为300,er设为1,其余不变;设置边界属性:选择Boundaries标签页,Enclosure Top及Bottom均选Approximate open项。

a天线的结构尺寸图 b 实验绘制电路图一3、绘制缝隙天线天线的结构尺寸如图1所示。

在Layer2层画缝隙天线,绘制时分为4部分,上、下各一个不规则矩形,中间2个小矩形,在上方小矩形的下边缘添加Internal Port(内置端口)。

图二原理图三维视图4、设置工作频率在工程浏览页,双击Project Options项,设置工作频率范围:1~8GHz,阶长0.01GHz;再选择EM Structure\Slot Antenna项,点右键,选Options项,在Frequency V alues页去掉Use project frequency项前的选钩,设置非线性仿真频率:1~8GHz,阶长0.5GHz;再选择Mesh标签页,去掉Use project frequency项前的选钩,设网格密度为Low,单元大小限制依次为10、10、4、4。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA仿真实验报告
姓名:
学号:
班级:
一.实验目的
1.了解EDA技术的发展、应用。

2.学习Multisim的使用。

二.实验内容
1.与非门实现四舍五入
2.用74LS138和必要的门电路设计一个表决电路
3.用74LS85设计四位数值比较器
三.实验软件与环境
1.EDA技术
EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。

2.EDA的应用
EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。

主要是了解EDA的基本概念和基本原理、使用EDA的某种工具进行电子课程的实验并从事简单系统的设计,为今后工作打下基础。

3.Multisim
Multisim是Interactive Image Technologies公司推出的以Windows 为基础的板级仿真工具,适用于模拟/数字线路板的设计。

本实验使用了NI Multisim 14.0 软件。

四.实验内容与步骤
1.与非门实现四舍五入电路(只能用与非门)
真值表:
逻辑表达式:F=m(5,6,7,8,9)
2.用74LS138和必要的门电路设计一个表决电路
真值表:
F=M'ABC+MA'BC+MAB'C+MABC'+MABC=MAB+MAC+MBC+ABC 电路方面要注意输出为低电平,所以要用与非门。

3.用74LS85设计四位数值比较器
电路方面注意74LS85正确使用,控制端要接A=B以便使两个四位数从高位开始比较,如果高位相等再比较次高位,以此类推。

五.实验结果
1.与非门实现四舍五入电路(只能用与非门)
一共有三个图,分别是输入0(四舍),输入9(五入)和无效输入。

(1)输入0电路
(2)输入9电路
(3)输入无效电路
2.用74LS138和必要的门电路设计一个表决电路
共有六个图,分别是M=0时,接入2个1和接入3个1;M=1时,接入0个1,接入1个1,接入2个1和接入3个1的电路图。

(1)M=0,接入2个1电路图
(2)M=0,接入3个1电路图
(4)M=1,接入1个1电路图
(6)M=1,接入3个1电路图
3.用74LS85设计四位数值比较器
一共有4个图,分别是大于、小于、等于和无效时的电路。

(1)大于时的电路
(2)等于时的电路
(3)小于时的电路
(4)无效时的电路。

相关文档
最新文档