plc8位彩灯循环控制共74页文档
八路彩灯循环显示
电子课程设计——8路彩灯显示目录一、设计任务与要求——————————————3二、总体框图—————————————————3三、选择器件—————————————————4四、功能模块—————————————————5五、总体设计电路———————————————7六、总结———————————————————9参考文献—————————————————9八路彩灯设计一、设计任务与要求控制8个LED进行花色显示,设计五种模式:LED从左到右依次闪烁;LED 从右到左依次闪烁;LED从中间到两边依次闪烁;LED奇数指示灯依次闪烁;LED偶数指示依次闪烁。
五种模式循环切换,复位键(CLR)控制系统的运行与停止。
二、总体框图方案一:图(1)方案一框图时钟输入:给分频电路时钟脉冲;计数器:控制五种循环模式的转换;移位寄存器:通过移位实现每种模式的所有状态;LED显示:彩灯演示;清零输入:CLR键,实现复位功能。
方案二;图(2)方案二框图时钟输入:给分频电路时钟脉冲;控制电路:状态机,当时钟输入给状态机一个脉冲输入后,状态机就会自动进行第一种循环,当第一种循环结束后,状态机会自动进行下一个循环,依次类推,当第五种循环模式结束后,状态机就会自动转换到第一种模式,这样一直循环下去。
LED显示:彩灯演示;清零输入:CLR键,实现复位功能;方案选择:方案二;选择理由:结构简单,易于实现。
三、选择器件1、Quartus II 6.0 软件;2、FPGA适配器实验板:标准配置是EP1C12Q240C8;3、下载接口是数字芯片的下载接口(JTAG),下载形式为USB-Blaster,主要用于FPGA芯片的数据下载;4、时钟源;5、电源、八个LED灯。
四、功能模块1、LED(状态机)模块图(3)LED(状态机)模块LED模块由两个主控进程控制,时序进程和辅助进程组成,各进程分工明确。
在完整的循环过程中,状态机中最先启动的是以CP为敏感信号的时序进程,当时序进程被启动后,按顺序进行五种循环模式,当CLR接收到一个低电平脉冲时,循环将自动恢复到第一种循环模式,继而进行循环.2、LED模块VHDL程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cdxs isport(led : out std_logic_vector(7 downto 0);vga: out std_logic_vector(3 downto 0); ---控制小灯亮暗cp ,clr : in std_logic;ledd: out std_logic); ---20MHZ clock signalend cdxs;architecture one of cdxs is--signal fre :STD_LOGIC;signal s :std_logic_vector(5 downto 0); ---6花色signal s1 :std_logic_vector(7 downto 0); ---赋值给8个小灯beginvga<="0001";p1:process(cp,clr)beginif clr='1' thens<= "000000";ledd<=s(1);elsif cp'event and cp='1' thenif s<="100010" then s<=s+1;elses<="000000";end if;end if;ledd<=s(1);if s= "000000" then s1<="10000000";elsif s= "000001" then s1<="01000000";elsif s= "000010" then s1<="00100000";elsif s= "000011" then s1<="00010000";elsif s= "000100" then s1<="00001000";elsif s= "000101" then s1<="00000100";elsif s= "000110" then s1<="00000010";elsif s= "000111" then s1<="00000001";elsif s= "001000" then s1<="00000010";elsif s= "001001" then s1<="00000100";elsif s= "001010" then s1<="00001000";elsif s= "001011" then s1<="00010000";elsif s= "001100" then s1<="00100000";elsif s= "001101" then s1<="01000000";elsif s= "001110" then s1<="10000000";elsif s= "001111" then s1<="00011000";elsif s= "010000" then s1<="00100100";elsif s= "010001" then s1<="01000010";elsif s= "010010" then s1<="10000001";elsif s= "010011" then s1<="10000000";elsif s= "010100" then s1<="00100000";elsif s= "010101" then s1<="00001000";elsif s= "010110" then s1<="00000010";elsif s= "010111" then s1<="01000000";elsif s= "011000" then s1<="00010000";elsif s= "011001" then s1<="00000100";elsif s= "011010" then s1<="00000001";elsif s= "100000" then s1<="11111111";else s1<="00000000";end if;led<=not s1;3、仿真结果图(4)时序仿真图仿真分析:有仿真结果可以看出,在清零端 CLR输入无效时,在时钟脉冲的作用下,LED[7..0]的状态分别为011111111,10111111,11011111,11101111,11110111,11111011,11111101,11111110。
8路彩灯控制器
8路彩灯控制器实验报告8路彩灯控制器一、实验目的:1、熟熟练掌握VHDL的用法2、了解8路彩灯的工作原理,电路结构。
二、实验要求:设计一个8路彩灯控制器,能控制8路彩灯按照两种节拍、三种花形循环变化。
两种节拍分别为0.25s和0.5s。
三种花型分别是:1、8路彩灯从左至右按次序见谅,全亮后逆次序渐灭。
2、从中间到两边对称渐亮,全亮后仍从中间到两边逐次渐灭。
3、8路彩灯分两半,从左至右顺次渐亮,全亮后则全灭。
三、实验原理:四、实验程序与步骤:程序:1、8路彩灯的三种花形控制模块CDlibrary ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cd isport(clk:in std_logic;jp:out std_logic;qq:out std_logic_vector(7 downto 0)); end cd; architecture behav of cd isconstant w:integer:=7;signal q:std_logic_vector(7 downto 0); beginprocess(clk)variable flag:bit_vector(2 downto 0):="000"; variable jp1:std_logic:='0';beginif clk'event and clk='1' thenif flag="000"thenq<='1'&q(w downto 1);if q(1)='1'thenflag:="001";end if;elsif flag="001" thenq<=q(w-1 downto 0)&'0';if q(6)='0'thenflag:="010";end if;elsif flag="010"thenq(w downto 4)<=q(w-1 downto 4)&'1';q(w-4 downto 0)<='1'&q(w-4 downto 1);if q(1)='1'thenflag:="011";end if;elsif flag="011"thenq(w downto 4)<=q(w-1 downto 4)&'0';q(w-4 downto 0)<='0'&q(w-4 downto 1);if q(1)='0'thenflag:="100";end if;elsif flag="100"thenq(w downto 4)<='1'&q(w downto 5);q(w-4 downto 0)<='1'&q(w-4 downto 1);if q(1)='1'thenflag:="101";end if;elsif flag="101"thenq<="00000000";jp1:=not jp1;flag:="000";end if;end if;qq<=q;jp<=jp1;end process;end behav;2、二选一多路选择器模块MUX21 library ieee; use ieee.std_logic_1164.all;entity mux21 isport(a,b,s:in std_logic;y:out std_logic);end mux21;architecture ar of mux21 isbeginprocess(a,b,s)beginif s='0'theny<=a;elsey<=b;end if;end process;end ar;3、二分频模块FEN2library ieee;use ieee.std_logic_1164.all;entity fen2 isport(clk:in std_logic;clkk:out std_logic);end fen2;architecture behav of fen2 isbeginprocess(clk)variable clkk1:std_logic:='0'; beginif clk'event and clk='1'thenclkk1:=not clkk1;end if;clkk<=clkk1;end process;end behav;步骤:1、输入程序CD、MUX21、FEN2。
项目16 彩灯循环点亮PLC控制
项目描述 设计一个用PLC控制8盏彩灯(HL1~HL8)循环
点亮的系统,控制要求如下: 按下启动按钮SB1,彩灯以1s间隔从HL1开始正序轮 流点亮,当HL8点亮后,停2s,然后从HL8开始以1s 间隔反序轮流点亮,当HL1点亮后,停2s,从HL1开 始以1s间隔依次点亮至8个彩灯全亮,停2s,在从 HL8开始以1s间隔依次熄灭,停2s,重复上述过程 ,直到按下停止按钮SB2时停止工作。
实训器材
序号 符号
1 PLC
2
HL
3
QF
4
SB
5
FU
实训设备材料表
设备名称
型号、规格
可编程控制器
FX2N-48MR-001
彩灯
AD16-22 AC220V
空气开关
47-10/1P
按钮
LA39-11
熔断器
RT18-32/2A
单位 数量 备注
台
1
个
8
个
1
个
2
个
1
项目分析 PLC中基本指令和步进指令主要用于逻辑处理
点
312点
7488点
256点
说明:(1)通用型可通过设定参数变为停电保持型;(2)停电保持型可通过设定参 数变为非停电保持用(即通用型);(3)停电保持专用通过设定参数无法变为非停电 保持用;(4)通过参数设定,可将D1000~D7999设定为文件寄存器使用。
项目实施
【任务一】:学习相关知识 活动3:学习编程元件——变址寄存器V、Z
7.PLC彩灯控制实训案例
案例一:PLC彩灯控制一、彩灯控制案例描述用一个按钮控制8个灯,按下启动按钮不松手时,每秒钟亮一个灯,从L1到L8依次闪亮,然后再从L8到L1依次闪亮,不断重复上述循环过程,松开启动按钮后,8个灯全灭。
控制系统如下图所示:彩灯控制示意图二、控制方案设计1.电气原理图2.IO分配表输入输出输入设备输入继电器输出设备输出继电器启动按钮SB1 X0 HL1 Y0HL2 Y1HL3 Y2HL4 Y3HL5 Y4HL6 Y5HL7 Y6HL8 Y7三、彩灯控制程序四、彩灯控制案例分析1.初始状态下:控制开关S断开,X0常闭接点闭合,Y7~Y0均为0,执行SUM指令,D0=0所以零位标志M8020=1。
2.闭合控制开关S,定时器T0得电,T0每隔1s发出一个脉冲,由于M0未得电,M0常闭接点闭合,T0的脉冲控制左移指令SFTLP,T0第一个脉冲将M020中的1左移到Y0,Y0=1,这时K2Y0不为0,D0也不为0,所以零位标志M0820=0。
T0第二个脉冲将M8020中的0左移到Y0,Y0=0,Y1=1,之后,K2Y0和D0也不再为0,零位标志M8020=0,一直到Y7=1,再左移一次,Y7由1变为0时,Y7~Y0又为0,执行SUM指令,D0=0,零位标志M8020=1。
Y7下降沿接点将M0置1,M0常开接点闭合,T0的脉冲控制右移指令SFTRP,Y7~Y0实现从Y7→Y0单点右移。
一直到Y0=1,再左移一次,Y0由1变为0时,Y0的下降沿接点将M0置0,M0常闭接点闭合,有执行左移指令SFTLP。
并不断执行上述过程。
3.当控制开关S断开,Y7~Y0全部复位为0。
plc8位彩灯循环控制
离散(中 等)
9
12
HSC0 CV=PV
10
27
HSC0方向改变
11
28
HSC0外部复位
12
13
HSC1 CV=PV
13
14
HSC1方向改变
14
15
HSC1外部复位
15
16
HSC2 CV=PV
16
17
HSC2方向改变
17
18
HSC2外部复位
18
Page 14
精选课件
二、相关知识
2.中断程序
(2)中断事件与中断指令。 ① 中断事件。
2
定时器T96 CT=PT中断
3
精选课件
二、相关知识
(三)S7-200 CPU控 制程序的构成
2.中断程序
(2)中断事件与中断指令。
② 中断指令
梯形图 RETI ENI DISI ATCH DTCH
CLR_EVNT
语句表 CRETI
ENI DISI ATCH INT,EVNT DTCH EVNT CEVNT EVNT
上升沿,I0.0 上升沿,I0.1 上升沿,I0.2 上升沿,I0.3 下降沿,I0.0 下降沿,I0.1 下降沿,I0.2 下降沿,I精0.选3 课件 HSC0 CV=PV
优先级分组
离散(中 等)
按组排列的优 先级 0 1 2 3 4 5 6 7 8 9 10
19
PTO 0脉冲输出完成中断
0
20
Page 11
精选课件
二、相关知识
(三)S7-200 CPU控 制程序的构成
2.中断程序
(2)中断事件与中断指令。 ① 中断事件。
Word版可编辑-八路循环彩灯电路设计精心整理.doc
八路循环彩灯电路设计摘要:灯光的闪烁和流动可以用于各种各样的装饰,例如电子门标、广告装饰等。
灯光的流动总是特别吸引别人的注意力。
在夜晚,在城市的街头,当广告牌上的灯光流动起来时,城市就会马上变得生动起来。
我所设计的彩灯控制电路是原理很简单的一种利用大学所学到的数电知识制成。
只要了解几种集成电路便可轻松明白它的原理。
做好的彩灯可以放在室内,主人会感到温馨;放在餐厅等地方可以增加氛围。
本文基于电子线路CAD常用软件Protel99SE设计了一个简单的循环彩灯电路,各路彩灯由发光二极管模拟代替。
采用555定时器,计数器,移位器,产生控制循环信号,再利用74LF161A计数器和74LS194左右移位寄存器组成驱动电路来依次控制彩灯循环闪烁。
循环彩灯电路由驱动电路、输出电路、时钟电路和电源等为整个系统工作提供所需的能源。
CMOS 电路对电源电压的要求相对比较宽松。
循环彩灯电路是由TTL集成电路做成,并且采用5伏电源供电。
时钟电路是由555多谐自激震荡集成电路制成,与电阻和电容一起构成时钟周期发生器,为电路提供时钟信号,支持整个电路的工作。
驱动电路是由74LF161A计数器和74LS194左右移位寄存器组成,用以驱动发光二极管正常工作,并且在时钟电路的控制下让八个发光二极管循环工作。
关键词:八路彩灯;555定时器;计数器;移位寄存器The Design Of Eight Lines Circulating Lights Abstract: Lights flashing and the flow can be used for a variety of decoration, such as electronic door standard, advertising and decoration. The flow of light is always special to attract people's attention. At night, the streets in the city, when the flow of light on the billboards up, the city will soon get to life. I designed the lights control circuit is a very simple principle learned to use the University made several electrical knowledge. As long as several integrated circuits can easily understand its principles. Do the lights can be placed indoors, the owner will feel warm; on restaurants and other places to increase the atmosphere.Based on the electronic circuits commonly used CAD software Protel99SE designed a simple circuit lights cycle, and the brightest lights from the light-emitting diode simulations instead. Using 555 timers, counters, shifters, resulting in the control loop signal, and then use 74LF161A around the counter and 74LS194 shift registers control drive circuit to turn lights cycling. Cycle lights circuit by the drive circuit, output circuit, clock circuit and power supply for the entire system to provide the necessary energy. CMOS circuits the power supply voltage requirements are relatively relaxed. Cycle lights circuit is made by TTL integrated circuits, and the 5-volt power supply. Clock circuit is more than 555 integrated circuit made of harmonic self-excited oscillation, together with resistors and capacitors constitute the clock generator providing clock signals for the circuit to support the entire circuit. Drive circuit is 74LF161A counter and shift register 74LS194 about the composition, light-emitting diodes to drive to work, and under the control of the clock circuit so that the work cycle of eight light-emitting diodes.Key words:Eight lines circulating lights; 555 timer; Counter; Shift register目录1引言 (1)2 系统组成及工作原理 (1)2.1 基础设计目的 (1)2.2 基础设计要求 (1)2.3 总体设计思路 (2)2.4 电路框图 (2)2.5 PCB板布线 (2)2.5.1 布局规则 (2)2.5.2 布线规则 (2)3 循环彩灯的系统组成 (3)3.1 555定时器电路 (3)3.1.1 定时器电路产生时钟脉冲 (3)3.1.2 555定时器组成的多谐振荡电路 (4)3.2 74F161A及74LS194的功能 (5)3.2.1 74F161A四位二进制同步计数器 (5)3.2.2 74LS194四位双向移位寄存器 (6)3.3 设计彩灯控制电路 (7)3.4 电路图的仿真 (7)3.5 PCB板布线与制做方案的实施 (7)3.5.1 PCB板布线 (7)3.5.2 PCB板制作 (8)4 循环电路的总体设计 (8)4.1 循环彩灯原理图 (8)4.2循环彩灯仿真图 (9)4.3 循环彩灯PCB图 (9)4.4循环彩灯装配图 (10)4.5 循环彩灯实物图 (10)5 实验结果的调试与检验 (11)5.1 调试技巧方法 (11)5.2 调试中出现的原因、故障及排除的方法 (11)6 总结与设计结论 (11)6.1 总体结果 (11)6.2 设计结论 (12)6.3 收获 (12)参考文献 (15)致谢......................................................错误!未定义书签。
8路输出的彩灯循环控制电路数电说明书(内附电路图)
绪论数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。
例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。
随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。
随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。
随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。
不论是思想,还是视觉,人们都在追求更高的美。
特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。
本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。
本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。
由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。
编者2010年于太科大目录绪论 (1)一、课程设计题目 (3)二、课程设计目的 (4)三、课程设计基本要求: (4)四、课程设计任务和具体功能 (5)五、工作原理 (5)六、设计总框图 (6)七、电路元器件的说明 (6)八、总电路图 (27)九、调试与检测 (28)十、误差分析: (28)十一、设计心得体会。
(28)附录 (28)参考文献 (28)一、课程设计题目:8路输出的彩灯循环控制电路二、课程设计目的:1、巩固和加强“数字电子技术”、“模拟电子技术”课程的理论知识的理解和应用。
2、掌握电子电路的一般设计方法,了解电子产品研制开发的过程。
3、提高电子电路实验技能及Multisim10仿真软件的使用能力。
PLC编程 8个彩灯
朱彭
一.课程目的
有8个彩灯排成一行,从左至右依次每秒有一个灯点亮(只有一个灯亮),循环三次后,全部灯同时点亮,3s后全部灯熄灭,如此重复不断进行。
二.课程分析
①配置表
②梯形图
③梯形图分析与总结
PLC开始运行,X000闭合后M0自锁,并且使计时器T0开始计时,T0定时器定时1s后给计数器C0一个脉冲,然后再次定时1s,再给计数器一个脉冲,并且计数器每计数一次都会带动接通相应的辅助继电器M动作,辅助继电器会带动相应的小灯动作。
当计数器计满9个数时会自动清零,然后循环,并且会给计数器C1计数一次。
当计数器C1计满3个数后计数器C0不再清零,C1清零,也就是不再循环。
此时辅助继电器M9会自锁,M9与之前辅助继电器并联,会带动小灯动作。
同时定时器TI开始定时,3s后M9会断开,小灯熄灭。
一直循环。
作者QQ:2528647412。
8路循环彩灯设计控制电路
数字电子技术课程综合设计题目:8路循环彩灯控制的电路姓名:学号:层次:专业:班级:指导教师:2021年5月独创性申明一.本论文是本人独立完成;二.本论文没有任何抄袭行为;三.若有不实,一经查出,请答辩委员会取消本人答辩资格。
摘要:设计一个8路循环彩灯电路,要求该电路能够实现8路彩灯能够依次点亮,然后在一起点亮,且每次不同的点亮状态要持续两秒,且能够循环上诉的效果。
该电路主要是由555定时器与一些分立元器件构成多谐振荡器,74LS163同步计数器,74LS138译码器,LED等组成的,由多谐振荡器提供周期为一秒的脉冲方波信号,然后经过74LS163同步计数器进行二分频,使其周期变为两秒,在经过74ls138译码器的译码,从而完成8路彩灯循环电路设计的要求,电路在经过ISIS的仿真测试能够有效的依次点亮8路彩灯,最后在全部点亮的功能,从而完成课设要求。
关键词:多谐振荡器;74LS163同步计数器;74LS138译码器;Abstract:Design an8-way cycle lamp circuit,the circuit is required to achieve8-way lights can be lit in turn,and then lit together,and each time the different lighting state to last two seconds,and can cycle the effect of appeal.The circuit is mainly composed of555 timer and some discrete components,such as multivibrator,74ls163synchronous counter, 74LS138decoder,led,etc.the multivibrator provides a pulse square wave signal with a period of one second,and then the74ls163synchronous counter bisects the frequency to make its period become two seconds,In order to complete the design requirements of 8-way color lamp cycle circuit,the circuit can effectively light up8-way color lamp in turn after Isis simulation test,and finally in the full lighting function,so as to complete the course design requirements.目录前言1电路整体方案设计 (2)1.1电路设计要求 (4)1.2电路设计方案 (4)1.3出现的问题及解决方案 (5)2电路结构分析 (5)2.1多谐振荡器 (5)2.2计数器 (6)2.3译码器 (5)2.4电路仿真图 (5)3结论 (9)参考文献 (10)致谢 (8)前言本课题主要研究的是如何实现8路彩灯依次点亮,最后在全部点亮的循环过程,要实现课设的基本功能需要了解掌握计数器,译码器的等芯片功能;是要选取555定时器和一些分立元器件组成的多谐振荡器,73LS163同步计数器,74LS138译码器的功能及应用。
八路彩灯控制程序
FPGA课程设计——8路彩灯控制程序2013年12月12日8路彩灯控制程序一、设计任务1.设计目的:(1)了解及掌握时序电路及组合电路的基本结构常用数字电路(2)通过ModelSim软件编写组合电路与时序电路混合的程序进行仿真和调试。
(3)74LS194 移位寄存器和74LS161 计数器的使用。
2.设计内容:编写一个8路彩灯控制程序,要求彩灯有以下3种演示花型。
(1)8路彩灯同时亮灭;(2)从左至右逐个亮(每次只有1路亮);(3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭;在演示过程中,只有当一种花型演示完毕才能转向其他演示花型。
二、设计方案论证74LS161 是四位二进制同步加数器,74LS194 是一个 4 位双向移位寄存器,它具有左移,右移,保持,清零等逻辑功能,八路彩灯控制器通过利用双向移位寄存器 74LS194 的串行输入, 个并行输 8 出端控制彩灯;双向移位寄存器 74LS194 的控制端 S1=0,S0=1 时,进行右移; S1=1,S0=0 时, 进行左移; 十六位计数器 74LS161 可以从 0000 到 1111 进行计数. 利用十六位计数器 74LS161 的功能实现自动循环.(1)8路彩灯同时亮灭:111111110000000011111111(2)从左至右逐个亮(每次只有1路亮);111111110111111110111111110111111110111111110111111110111111110111111110(3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭 111111110000111111110000三、结构及其工作原理1.结构框图:2.电路的原理图:3.电路工作原理:74LS161 是四位二进制同步加数器, 74LS194 是一个 4 位双向移位寄存器,它具有左移,右移,保持,清零等逻辑功能, 八路彩灯控制器通过利用双向移位寄存器 74LS194 的串行输入, 个并行输 8 出端控制彩灯;双向移位寄存器 74LS194 的控制端 S1=0,S0=1 时,进行右移; S1=1,S0=0 时, 进行左移; 十六位计数器 74LS161 可以从 0000 到 1111 进行计数. 利用十六位计数器 74LS161 的功能实现自动循环.四、主要元件1.数字电路实验箱 1 台2.74LS161 芯片 1 片3.74LS194 芯片 2 片4.导线若干五、仿真过程及结果新建工程及文件,分别添加设计程序及测试程序,进行编译及纠错,编译通过后运行程序仿真进行调试得出结果。
八路彩灯课程设计--八路彩灯控制器的设计
课程设计报告
课程:数字电路
题目:八路彩灯控制器的设计
院系:物理与电子信息学院
专业: 2011级电子信息科学与技术
八路循环彩灯控制电路设计
课程设计报告书试验大致思路如下:3.器件管脚分配图:图1(4017管脚分配图)CD4017是十进制计数器,它包含译码器。
计数器在时钟禁止输入为低电平时,在时钟脉冲上升沿进位。
在时钟禁止输入为高电平时,时钟被禁止。
复位输入为高电平时,时钟输入独立运行。
该芯片是一个十进制分配器,只要在其脉冲信号输入端接入脉冲信号,每来一个脉冲信号时,该芯片就会从Q0~~Q9~~Q0循环发出高电平,并且能够保持这个脉冲信号没有结束时,一直是高电平。
由此可知,该芯片能够运用于控制端或者是用于循环彩灯等等方面的应用。
引出端功能符号CO:进位脉冲输渊CP:时钟输入端CR:清除端INH:禁止端Q0-Q9 计数脉冲输出端VDD:正电源VSS:地真值表输入输出CP INH CR Q0-Q9 CO× × H Q0↑L LH ↓L计数计数脉冲为Q0-Q4时:CO=HL × L× H L↓× L×↑L保持计数脉冲为Q5-Q9时:CO=L图2(4069管脚分配图)CD4069又称为六反向器,广泛运用于各种电路设计中。
当Vcc=5~10V时,C110uFU1A 4069BCL_5V U2B 4069BCL_5V R210kΩR1200kΩ1234图4图4为电路中的一部分,是用来产生时钟脉冲的多谐振荡器,它仿真图如下图5整个电路的仿真图如下;。
八路节日彩灯的PLC控制
安徽电子信息职业技术学院课程设计报告册课程名称:节日彩灯PLC控制系别:信息工程系专业:应用电子班级:091班*名:**学号:*********2010年12 月10 日目录引言 (1)1、概述1.1 PLC 课程设计任务 (3)1.2 PLC 课程设计要求 (3)2、PLC的相关知识2.1 PLC 的历史和发展 (4)2.2 PLC 的定义和特点 (5)2.3 PLC 的应用领域 (5)2.4 PLC 的工作原理 (6)3、控制系统的硬件设计3.1 PLC硬件配置 (6)3.2 PLC的外部接线图 (7)4、控制系统的软件设计4.1 I/O分配表 (8)4.2 梯形图程序 (9)4.3 控制系统测试与分析 (13)5、电气设备及其元件明细表 (13)6、设计思路与总结 (13)7、参考文献 (14)引言随着科学技术的发展以及人们生活水平的提高,在现代生活中。
彩灯作为一种装饰,既可增加人们的感观,起到广告宣传的作用,又可以增添们节日气氛,为人们的生活增添亮丽,用在舞台上增强晚会灯光效果。
随着电子技术的发展,应用系统想着小型化、快速化、大容量、重量轻、功能全的方向发展,PLC技术的应用引起电子产品及系统开发的巨大变革。
梯形图语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛。
在这个阶段,人们开始追求贯彻整个系统设计的自动化,可以从复杂的工作中彻底解脱出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。
整个过程通过PLC 自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减小了出错机会。
1、概述1.1 PLC 课程设计任务根据控制要求,设计节日彩灯的控制系统。
8路彩灯组成上面威圆环形,下面为一字型的造型,上下同时运行,形成交相辉映的效果,每次变化间隔1.5秒。
控制规律:A—B—C—D—E—F—G—H—ABCDEFGH( 闪烁3次)—BCDEFGH—CDEFGH—DEFGH—EFGH—FGH—GH—H—循环执行。
PLC案例 S7-200 SMART实现控制彩灯按钮
PLC案例 | S7-200 SMART实现控制彩灯按钮本案例主要让读者练习西门子S7-200SMART系列PLC位逻辑指令、定时器、移位指令、子程序的结构等。
【控制示意图】如图3-1所示为彩灯控制示意图。
【控制要求描述】现有L1-L8共8盏彩灯,要求按下开始按钮,霓虹灯L1-L8以正序每隔1S轮流点亮,当L8亮后,停2S;然后,反向逆序间隔1S轮流点亮,当L1再亮后,停5S,重复上述过程。
按下停止按钮,彩灯停止工作。
【I/O分配】根据控制要求描述对需要用到的I/O进行分配,如图3-2所示:【相关指令解读】此案例主要用到移位指令和其它指令配合,这里主要解读移位指令。
循环左移字节(图3-2):循环移位指令将输入值 IN 的位值循环左移位,位置循环移位计数 N,然后将结果装载到分配给 OUT 的存储单元中。
循环移位操作为循环操作。
举例说明1:程序如图3-3,利用系统时钟SM0.1第一个扫描周期给QB0字节中赋值为1,此时QB0中最低位(Q0.0)为 1。
当按下I0.0按钮后,QB0字节中的数据左移一位,Q0.1变为1。
举例说明2:程序如图3-4,利用系统时钟SM0.1第一个扫描周期给QB0字节中赋值为1,此时QB0中最低位(Q0.0)为 1。
当按下I0.0按钮后,QB0字节中的数据左移二位,Q0.2变为1。
循环右移字节: 如图3-5,循环移位指令将输入值 IN 的位值循环右移,位置循环移位计数 N,然后将结果装载到分配给 OUT 的存储单元中。
循环移位操作为循环操作。
举例说明1:程序如图3-6,利用系统时钟SM0.1第一个扫描周期给QB0字节中赋值为1,此时QB0中最低位(Q0.0)为 1。
当按下I0.0按钮后,QB0字节中的数据右移一位,Q0.7变为1。
举例说明2:程序如图3-7,利用系统时钟SM0.1第一个扫描周期给QB0字节中赋值为1,此时QB0中最低位(Q0.0)为 1。
当按下I0.0按钮后,QB0字节中的数据右移二位,Q0.6变为1。
项目16 彩灯循环点亮PLC控制
项目实施
【任务四】:编写梯形图程序,写出指令表 活动1:程序设计
项目实施
【任务四】:编写梯形图程序,写出指令表 活动2:写出指令表 活动3:程序分析
项目实施
【任务五】:输入程序 活动1:启动编程软件GX Developer 活动2:创建新工程 活动3:梯形图程序输入 活动4:梯形图程序的转换 活动5:工程保存 活动6:程序写入
实训器材
序号 符号
1 PLC
2
HL
3
QF
4
SB
5
FU
实训设备材料表
设备名称
型号、规格
可编程控制器
FX2N-48MR-001
彩灯
AD16-22 AC220V
空气开关
DZ47-10/1P
按钮
LA39-11
熔断器
RT18-32/2A
单位 数量 备注
台
1
个
8
个
1
个
2
个
1
项目分析 PLC中基本指令和步进指令主要用于逻辑处理
设备名称
输出端子编号
启动按钮SB1
X000
彩灯HL1~HL8 Y000~Y007
停止按钮SB2
X001
项目实施
【任务三】:画出外部接线图,并进行接线 活动1:画出外部接线图 活动2:学生根据外部接线图,完成接线。
【要求】:顺时 针圈接线鼻子, 不能有毛刺,一 个接线柱最多压 两根线,露铜不 能太长。
,但在工业自动化控制系统中许多场合需要进行数 据处理,因此在现代PLC中引入了功能指令(也称 为应用指令)。功能指令主要用于数据传送与比较 、运算、变换、程序控制等。
本次实训将使用循环移位、传送、加1、减1等 指令实现八个彩灯的移位控制。
循环彩灯控制器课程设计8路
数字电路课程设计报告课程名称:循环彩灯控制器设计题目:循环彩灯控制器院(部):机械与电子工程学院专业:学生姓名:学号:班级:日期:指导教师:课程设计任务书目录1、摘要 (4)2、关键字 (4)3.设计背景……………………………………………………43、1 了解数字电路系统得定义及组成…………………43.2 掌握时钟电路得作用及基本构成…………………44.设计方案得选择 (5)5.单元电路得设计……………………………………………65。
1 花型控制电路得设计………………………………65、2 花型演示电路得设计……………………………105。
3 节拍控制电路得设计……………………………105.4 时钟信号电路得设计 (11)6.总体电路图………………………………………………127.各个单元电路得输入输出波形 (12)8.电路调试 (15)9.元器件清单………………………………………………1610.分析与总结 (17)11.致谢 (19)12.参考文献 (1)913、指导教师评语 (20)循环彩灯控制器得设计1.摘要本次循环彩灯得设计制作由时钟信号CP电路、花型控制电路、花型演示电路、节拍控制电路构成得集成电路来实现,其中花型控制电路由1614位二进制同步计数器完成,花型演示电路由195双向移位寄存器完成(可左移右移完成花型变化),节拍变化由151八选一数据选择器完成,节拍得快慢变化可有74双上升沿D触发器完成,它可实现二分频。
2。
关键字循环彩灯、时钟信号CP电路、花型控制电路、花型演示电路、节拍控制电路。
3.设计背景3、1了解数字电路系统得定义及组成数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路与电源等、输入电路主要作用就是将被控信号转换成数字信号,其形式包括各种输入接口电路。
比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理得数字信号、模拟信号则需要通过模数转换电路转换成数字信号再进行处理。
项12.1目八 广告牌循环彩灯PLC控制系统12。2新.doc
项目八广告牌循环彩灯PLC控制系统【学习目标】1.掌握程序控制指令、数据处理指令、中断指令、脉冲输出指令等常用功能指令的形式及作用。
2.熟悉控制程序的结构。
3.能分析用功能指令编写的程序。
4.会利用功能指令编写较简单程序。
5.能根据程序功能要求采用功能指令或子程序优化程序结构。
【项目导入】各企业为宣传自己的企业形象和产品,均采用广告手法之一——霓虹灯广告屏。
广告屏灯管的亮灭、闪烁时间及流动方向等均可通过PLC来达到控制要求。
设某霓虹灯广告牌共有8根灯管,其控制要求为:第1根亮→第2根亮→第3根亮 ----- -第8根亮,即每隔1s顺序依次点亮,全亮后,闪烁1次(灭1s 亮1s),再反过来按8→7→6→5→4→3→2→1反序熄灭,时间间隔仍为1s。
全灭后,停1s,再从第1根灯管点亮,开始循环。
根据广告屏显示要求,可以采用基本指令或顺序控制指令来实现系统控制要求,但程序较长或比较复杂,本项目中,采用移位传送等功能指令来实现灯管的控制,程序简单易懂。
下面具体分析与该程序相关的移位指令及其它功能指令的相关知识。
图8-1 某广告牌霓虹灯【相关知识】一、功能指令概述PLC的应用指令或称功能指令,是指在完成基本逻辑控制,定时控制,顺序控制的基础上,PLC制造商为满足用户不断提出的一些特殊控制要求而开发的指令。
PLC的应用指令越多,它的功能就越强。
一条功能指令即相当于一段程序。
使用功能指令可简化复杂控制,优化程序结构,提高系统可靠性。
功能指令按用途可分为程序控制指令、传送、移位、循环和填充指令、数学、加1、减1指令、实时时钟指令、查表、查找和转换指令、中断指令、通信指令、高速计数器指令等。
二、功能指令的形式在梯形图中,用方框表示功能指令,在SIMATIC指令系统中将这些方框称为“盒子”(Box),在IEC61131-3指令系统中将它们称为“功能块”。
功能块的输入端均在左边,输出端均在右边(见图8-2)。
梯形图中有一条提供“能流”的左侧垂直母线,图中I0.4的常开触点接通时,能流流到功能块DIV-I的数字量输入端EN(Enablein,使能输入),该输入端有能流时,功能指令DIV-I才能被执行。