汽车尾灯控制电路设计

合集下载

汽车尾灯控制电路设计

汽车尾灯控制电路设计

汽车尾灯控制电路设计
汽车尾灯控制电路是汽车电子系统中非常重要的一部分,它控制装配在汽车后尾部的
尾灯的工作状态,以确保安全行驶。

下面,我们对其电路逻辑做了详细介绍。

汽车尾灯控制电路主要采用了定时器与光敏电阻来检测汽车的尾灯是否正常工作,从
而确保汽车的安全性。

首先,电路的输入部分包括一个定时器,它用来控制该电路的功能。

此外,一个光敏电阻被用来检测夜间汽车是否开启尾灯,以便检测汽车的安全行驶。

此外,还配备有一个按钮开关,用来控制尾灯是否打开。

电路的输出部分主要包括定时器,它负责检测汽车夜间走行时,尾灯是否开启。

当汽
车夜间行驶时,该定时器将开始计时,一旦计时到达指定时间,它将使汽车尾灯开启。

另外,光敏电阻将检测出汽车是否已经熄灭尾灯,一旦检测到尾灯已熄灭,定时器将停止计时,尾灯也将被关闭。

此外,按钮开关也可以控制汽车的尾灯的工作状态。

当汽车在夜间行驶时,拥有尾灯
的按钮可用于手动控制尾灯的工作状态。

总而言之,汽车尾灯控制电路是以定时器,光敏电阻及按钮开关为核心的控制系统。

它可以有效地帮助汽车保持夜间行驶的安全,以期在黑夜行驶的路上,可以有效的显示汽
车和其他车辆的位置,有效保护行人和其他车辆的安全。

汽车尾灯控制电路

汽车尾灯控制电路

汽车尾灯控制电路
设计思路
利用74161构成七进制计数器,经过74138译码器,对应七种状态 利用74160构成三进制,实现3个指示灯的循环点亮, 经非门接三进制 74下
汽车尾灯控制电路
元器件解释
74LS160 74LS160是一种十进制同步计数器。在 Multisim中,它可以在每个时钟脉冲 上进行计数。当时钟信号上升沿触发 时,计数器会自动加1。该计数器可以 在四个并行输入(J0、J1、J2和J3)上 接收输入信号,并输出四个二进制计 数结果(Q0、Q1、Q2和Q3)
汽车尾灯控制电路
74LS138 74LS138是一种3-8译码器, 用于将一个三位二进制地址 信号转换为8个选通输出信 号。它可以将一个3位二进 制地址信号(A0,A1和A2)转 换为8个选通信号(Y0至Y7)
汽车尾灯控制电路
DCD_HEX 在Multisim中,DCD_HEX是七段数码管的一种, 七段数码管是一种数字显示设备,用于显示0到9 以及其他一些特殊字符。通过将七段数码管与适 当的电路连接,并在数字输入端口上提供适当的 信号,可以将数字值显示在七段数码管上
汽车尾灯控制电路
(3)汽车左转弯行驶时,左侧3个指示灯按左循环顺序点亮,右侧的指示灯全灭 (4)汽车临时刹车时,所有指示灯同时处于闪烁状态 (5)右转弯刹车时,右侧的三个尾部灯顺序循环点亮,左侧的灯全亮 (6)左转弯刹车时,左侧的三个尾部灯顺序循环点亮,右侧的灯全亮 (7)倒车时,尾部两侧的6个指示灯随CP时钟脉冲同步闪烁 同时用七段数码管显示汽车的七种工作状态,即正常行驶、刹车、右转弯、左 转弯、右转弯刹车、左转弯刹车和倒车等功能
汽车尾灯控制电路
运行结果
1.正常行驶
汽车尾灯控制电路

汽车尾灯控制电路设计 (3)精选全文

汽车尾灯控制电路设计 (3)精选全文

可编辑修改精选全文完整版电子设计自动化大作业题目汽车尾灯控制电路设计学院泉城学院班级电气工程及其自动化 3班姓名李栋学号 ***********二O一0年六月二十日题目:汽车尾灯控制电路设计一、设计要求:汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:1. 汽车正常行驶时,尾灯全部熄灭。

2. 当汽车右转弯时,右侧3个指示灯按右循顺序点亮。

3. 当汽车左转弯时,左侧3个指示灯按左循顺序点亮。

4. 临时刹车时,所有指示灯随着cP时钟脉冲同步闪烁。

二、设计思路1 汽车尾灯显示状态与汽车运行状态的关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关K1和K0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1.1所示。

表1.1汽车尾灯和汽车运行状态2汽车尾灯控制器功能描述在汽车左右转弯行驶时由于3个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。

设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量K1、K0,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2.2所示(表中指示灯的状态“1”表示点亮,“0”表示熄灭)。

表2.2汽车尾灯控制器功能表控制变量计数器状态汽车尾灯K1 K0 Q1 Q0 D1 D2 D3 D4 D5 D60 0 d d 0 0 0 0 0 00 1 0110 0 10 1 01 0 00 0 00 0 00 0 01 0 0110 0 00 0 00 0 01 0 00 1 00 0 11 1 d d cp cp cp cp cp cp根据以上设计分析与功能描述,可以得出汽车尾灯控制器的结构框图,如下图所示。

根据以上设计分析与功能描述,可得出汽车尾灯控制器的结构框图。

整个电路可由秒脉冲电路、开关控制电路、三进制电路、译码与显示驱动电路、尾灯状态显示5部分组成。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。

二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。

三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。

汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。

四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。

五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。

本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。

汽车尾灯显示控制电路设计

汽车尾灯显示控制电路设计

课程设计任务书题目: 汽车尾灯显示控制电路设计初始条件:汽车尾灯控制电路由四部分组成,控制电路、时钟发生电路、逻辑开关及逻辑电平指示。

(1)转弯信号是四状态计数电路,可由小规模触发器构成,也可由中规模计数器构成。

(2)时钟产生电路,可由555定时器构成1Hz信号和50Hz信号(用于停车时,尾灯亮度为正常一半)。

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)设计构成一个控制汽车六个尾灯的电路,用六个指示灯模拟六个尾灯(汽车尾部左右每侧三个灯),并用两个拨动式(乒乓)开关作为转弯信号源;一个兵乓开关用于指示右转弯,一个乒乓开关用于指示左转弯,如果两个乒乓开关都被接通,说明驾驶员是一个外行,紧急闪烁器起作用。

右转弯时三个右边的灯应动作,左边的灯则全灭,右边的灯周期性明亮与暗,一周约需一秒,对于左转弯,左边灯的操作应相类似;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗。

同时,电路还用一个开关模拟脚踏制动器,制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮,在转弯的情况下,三个转向的尾灯应正常动作,另三个尾灯连续亮。

另一个开关模拟停车,停车时,全部尾灯亮度为正常的一半。

时间安排:第17周(7、8节):理论讲解,新1-02第18~19周:理论设计及实验室安装调试;地点:鉴主15通信工程实验室(1),鉴主13通信工程专业实验室;第20周:撰写设计报告及答辩;地点:鉴主17楼研究室。

指导教师签名:2008年月日系主任(或责任教师)签名:年月日目录1设计任务及要求 (4)2 设计电路框图 (5)3各部分电路设计过程 (6)3.1秒脉冲电路的设计 (6)3.2开关控制电路的设计 (7)3.3三进制计数器电路的设计 (9)3.4译码与显示驱动电路的设计 (11)3.5 尾灯状态显示电路的设计 (12)4 电路总图 (13)4.1汽车尾灯控制器电路的工作原理 (13)4.2参数计算与器件选择 (13)5元器件清单 (14)6仿真及结果分析 (16)7小结与体会 (18)8 参考文献 (19)摘要本课题设计构成一个控制汽车六个尾灯的电路,用六个指示灯模拟六个尾灯(汽车尾部左右每侧三个灯),并用两个拨动式(乒乓)开关作为转弯信号源;一个兵乓开关用于指示右转弯,一个乒乓开关用于指示左转弯,如果两个乒乓开关都被接通,说明驾驶员是一个外行,紧急闪烁器起作用。

汽车尾灯控制电路设计与总结报告

汽车尾灯控制电路设计与总结报告

汽车尾灯控制电路设计与总结报告一.设计要求设计一个汽车尾灯控制电路,用6只发光二极管模拟6只汽车尾灯,左右各三只。

用四个开关分别模拟刹车信号K1,停车信号K2,左转弯信号KL,右转弯信号KR。

⑴正常情况下,汽车左(或右)转弯时,该侧的三只尾灯按图9-21-1所示的周期亮、暗,状态转换时间为1s,直至断开该转向开关;⑵无制动时(无刹车,K1=“0”),若司机不慎将两个转向开关接通,则两侧尾灯都作同样的周期变化,示意图同图9-21-1;●●●→○●●→○○●→○○○→●●●(a)右转弯●●●←○○○←●○○←●●○←●●●(b)左转弯●暗○亮⑶在刹车制动时(K1=“1”),所有6只尾灯同时亮;⑷停车时(K2=“1”),6只尾灯均按1Hz频率闪亮,直到K2=“0”为止。

二.总体设计构思设计基于两片74LS194来实现LED的左右移动,采用555芯片电路产生所需的1Hz脉冲。

1.1HZ脉冲发生器电路(1)运用555芯片输出1HZ脉冲的原理:接通电源后,电容C3被充电,Vc上升,当Vc上升到2Vcc/3时,触发器被复位,放电三极管T导通,此时3脚输出低电平,电容通过R2和T使Vc下降。

当Vc 下降到Vcc/3时,触发器被置位,3脚翻转输出高电平。

当C3放电结束时,T截止,Vcc将通过R1,R2向电容C3充电。

当Vc上升到2Vcc/3时,触发器又发生翻转,周而复始就在输出端得到一个周期性的方波。

f=1/(Tpl+Tph)=1.43/[(R1+2R2)C],Tph=0.7*(R1+R2)*C,Tpl=0.7*R2*C,通过计算可得选取R1=40k,R2=51k,C3=10uF则输出为频率为1Hz的脉冲波信号。

2.LED左转右转循环点亮电路设计与选择由两片双向4位移位寄存器(即两片74LS194),一块74HC21,两块4071加外围的LED显示电路实现。

工作原理:通过两个开关控制CR端的高低电平变化,当CR为低电平时,实现清除功能,使输出全为0,寄存器正常工作时CR为高电平。

电子技术课程设计汽车尾灯控制电路

电子技术课程设计汽车尾灯控制电路

电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。

(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。

当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。

当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。

总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。

多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。

当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。

左右边控制模块是控制它的输出,使它们的输出依次进行。

灯是起到亮灭的作用。

三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。

RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。

数字电路课程设计汽车尾灯控制电路设计

数字电路课程设计汽车尾灯控制电路设计

汽车尾灯控制电路的设计目录1. 设计任务和设计要求 (1)1.1 设计任务 (1)1.2 设计要求 (1)2. 设计原理与总体框图 (1)3. 单元电路设计 (2)3.1 三进制计数器 (2)3.2 汽车尾灯控电路 (3)3.3 开关控制电路 (4)3.4 时钟产生电路 (5)4. 汽车尾灯总体电路 (5)5. 试验方案及体会 (7)6. ......................................................................... 器件清单77. ......................................................................... 参考文献111. 设计任务和设计要求1.1 设计任务设计一个汽车尾灯控制电路。

汽车尾部左右两侧各有 3 个指示灯。

当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。

1.2 设计要求设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。

要求是:(1)汽车正常行驶时,尾灯全部熄灭。

(2)当汽车左转弯时,右侧 3 个指示灯按左循环点亮。

(3)当汽车右转弯时,左侧 3 个指示灯按右循环点亮。

(4)临时刹车时,所有指示灯同时闪烁。

(5)选择电路方案,完成对确定方案的设计。

计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。

制作实际运行装置。

表 1.1 尾灯和汽车运行状态关系表2. 设计原理与总体框图根据设计的基本要求,汽车左或右转弯时, 三个指示灯循环点亮, 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与各给定条件(SI 、S0 、CP 、Q1 、Q0 )的关系, 即逻辑功能表如表 2.1 所示(表中0表示灯灭状态,1 表示灯亮状表2.1. 汽车尾灯控制逻辑功能表开关控制三进制计数器六个指示灯S1 S0Q1Q0D6D5D4D1D2D30 0××000000 000001000 10100001010000001000010001 001010000101000001 1××CP CP CP CP CP CP根据表 1.1 可以得出原理框图,如图 2.1所示图 2.1 汽车尾灯控制电路原理图3. 单元电路设计3.1 三进制计数器三进制计数器可由双JK 触发器74LS76构成,其连接电路如图 3.1 所示图 3.1 三进制计数器电路3.2 汽车尾灯控电路汽车尾灯尾灯电路如图 3.2 示,其显示驱动电路由 6 个发光二极管和 6 个反相器构成;译码电路由3-8 线译码器74LS138和 6 个与非门构成。

课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计汽车尾灯控制电路是指在汽车照明系统中,以确保行车安全和减少照明系统损耗为目的,实现尾灯的衔接、协调点亮的电路系统。

该电路系统具有可靠的工作性能,可在脉冲信号输入的基础上精确控制尾灯灯光的亮度和频率,从而达到节能减排和安全而又省电的效果。

汽车尾灯控制电路是一种模拟电路,其主要构成由控制器、变频器、电力变换器和LED灯元件构成,以及电池供电系统。

控制器是处理尾灯灯光各种变化信号的核心,可根据实际情况进行照明系统的调节,如行车速度的改变、车辆的夜间行车、城市道路上的车流量等,以保证尾灯灯光的有效控制。

变频器功能是指把低频电压变为高频电压,再通过电力变换器与LED灯元件结合,实现对LED灯元件输出功率的控制和调节,保证LED灯产生不同亮度和频率的灯光。

电池供电系统为汽车尾灯控制电路提供所需电容量,同时兼顾节能减排和省电的设计,使得尾灯更加安全有效。

尾灯控制电路的设计要求除了具有高可靠性之外,可靠的防错性能也必不可少。

首先,输入脉冲信号必须通过电路芯片的转换器从低频变为高频,以防止尾灯的恒流灯光,同时保证尾灯的灵活调节。

其次,电池供电系统必须具备安全可靠的保护功能,防止超流短路,超温和其他可能损坏组件的供电意外情况发生,以保证系统的正常工作性能和可靠性。

最后,LED灯元件设计要求考虑到结构小巧、体积轻、节能耐用的特点,以满足尾灯的模块化设计。

总之,汽车尾灯控制电路是安全驾驶和照明系统可靠性的关键环节,设计要求必须兼顾可靠性、灵活性和节能等多种因素,以保证照明系统的安全性和便捷性。

其中又以输入脉冲信号的转换、变频器技术和LED灯元件设计为核心,可满足对车辆尾灯高性能要求和实现可靠的节能减排效果。

汽车尾灯控制电路的设计.

汽车尾灯控制电路的设计.

电子课程设计——汽车尾灯控制电路的设计院系学院班级电气班姓名学号指导教师目录摘要---------------------------------------------------------------------------------------------21 设计任务与要求------------------------------------------------------------------------------42 方案论证与设计------------------------------------------------------------------------------5 3单元模块电路设计---------------------------------------------------------------------------11 4仿真结果及分析------------------------------------------------------------------------------21 5心得体会--------------------------------------------------------------------------------------23本次课设要求设计一个汽车尾灯的控制电路,用于反映汽车在运行时的状态。

汽车尾部左右两侧各有3个指示灯,当接通左转、右转、刹车和正常行驶时,指示灯按照指定要求闪烁。

对汽车的四种状态,分别用0,1对其进行二进制编码,正常行驶,向右转弯,向左转弯,紧急刹车分别对应00,01,10,11,故可以用两个开关S,S作为汽车运行信号的输入,控制清零端来控制寄存器;由计数器和数据选择器产生序列信号,送给寄存器的串行输入端完成循环左右移动;由555定时器产生时钟脉冲,经二分频后送给寄存器完成灯的闪烁。

汽车尾灯控制电路设计数字电路课程设计

汽车尾灯控制电路设计数字电路课程设计

汽车尾灯控制电路设计方案《数字电路课程设计》报告目录第一部分系统设计 (2)1.1 设计题目及要求 (2)1.2 总体设计方案 (2)第二部分单元电路设计 (2)2.1 时钟脉冲电路 (2)2.2 开关控制电路 (4)2.3 三进制计数器 (4)2.4 译码、显示驱动电路 (6)第三部分整机电路 (7)3.1 整机电路图 (7)3.2 元件清单 (7)第四部分性能测量 (8)4.1实验条件(仿真调试和试验箱) (8)4.2 电路调试 (8)第五部分课程设计总结 (10)第一部分系统设计1.1 设计题目及要求设计一个汽车尾灯控制电路,尾灯共有六个,左右各三个。

基本要求为:1、正常行驶和停车时指示灯全灭。

2、汽车左转弯和右转弯时以动态流水灯形式指示转弯和转弯方向。

3、汽车刹车时使所有尾灯闪烁,提醒后边的车辆防止追尾。

1.2 总体设计方案1.2.1 设计思路在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。

假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。

1.2.2 设计方案方案原理框图如图2.1所示:开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1.2.2 汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求发光。

第二部分单元电路设计2.1 时钟脉冲电路2.1.1 时钟脉冲电路图时钟脉冲原理图如图2.1.1所示:图2.1.1 脉冲电路2.1.2 时钟脉冲电路工作原理由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。

汽车尾灯控制电路(课程设计报告)

汽车尾灯控制电路(课程设计报告)

摘要 (1)一、设计任务 (2)二、实验目的 (2)三、总体设计方案 (2)3.1 设计思路 (2)3.2 设计原理 (3)四、电路组成 (3)4.1 模式控制电路 (3)4.2 时钟信号源 (4)4.3 驱动电路与显示电路 (4)五、硬件电路安装、调试 (6)5.1 遇到的主要问题 (6)5.2 现象记录与原理分析 (6)5.3 解决措施及效果 (6)六、仿真结果 (6)七、实验总结与体会 (9)八、参考文献 (9)九、附录 (9)随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列问题。

比如,因为汽车突然转向所引发的车祸经常出现。

如果汽车转弯可以通过尾灯的状态变化来确定,就可以提示司机、行人朋友们车子正在转弯,一定程度的避免车祸的发生。

因此,本方案设计了一个“汽车尾灯控制电路”。

“汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、555定时器及电阻、电容进行搭建。

综合数字电路和模拟电路的知识,提升了我们处理实际问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。

【关键词】:汽车尾灯控制电路;74LS194;74LS00;555定时器。

一、设计任务设计一个汽车尾灯控制电路,要求汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮;在左转弯时,左侧3个指示灯按左循环顺序点亮;在临时刹车时,所有指示灯同时点亮。

二、实验目的1、锻炼学生综合运用电子技术基础知识以及动手能力;2、提高学生使用中规模集成芯片以及调试较大型电子系统的能力;3、使学生了解基本逻辑单元电路在实际生活中的应用,为今后进行复杂的综合型电子系统的设计和调试打下基础。

三、总体设计方案3.1 设计思路分析以上设计任务,由于汽车左转弯或右转弯时,3个指示灯循环点亮,所以用双向移位寄存器74LS194顺序输出高电平,从而控制尾灯按要求点亮。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

一、概述本次设计是基于集成芯片LM555、74LS160、74LS138以及门电路的设计,实现汽车尾灯的控制电路的功能。

通过两个按键的打开、闭合来模拟汽车的左转、右转、刹车、正常行驶四种工作状态,进而控制六个发光二极管的亮灭。

汽车尾灯的设计要求要实现以下功能:汽车正常运行时指示灯全灭;汽车右转弯时,右侧三个指示灯按右循环顺序点亮;汽车左转弯时,左侧三个指示灯按左循环顺序点亮;汽车临时刹车时所有指示灯同时闪烁。

在实际应用中,通过尾灯的变化可以很清晰的知道汽车的运行状态。

本次可设不但只可以应用于汽车尾灯,在很多领域中均可应用,例如实时监测电机等设备的工作状态等。

电路简单,应用广泛,具有很高的实际应用价值。

二、方案论证方案一:依靠译码器74LS138实现灯的循环点亮,通过译码器地址码的改变来实现对输出端哪个灯亮的控制。

地址码选择的改变要依靠74LS160产生的三进制计数器实现。

74LS160的有效脉冲由555构成的多谢振荡电路实现,并且结合多谐振荡电路同时可以实现灯的闪烁功能。

方案一原理框图如图1所示。

开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 系统电路的原理框图方案二:本方案依靠移位寄存器74LS194实现灯的循环点亮,而脉冲采用晶振分频得到。

本设计采用的是方案一。

由于晶振电路频带窄,不能用于带宽滤波,不适合用于脉冲发生器的设计。

电路比较繁冗;555构成多谐振荡电路实现延时较容易实现且电路不复杂,且性价比较高。

电路相对比较简单。

经过比较,最终选定方案一为最终的方案。

三、电路设计1.直流稳压电源电路对220V 市电依次进行降压、整流、滤波后,输出电压大概是9V 左右,然后再利用稳压芯片LM7805稳压成稳定的5V 输出给整个电路。

LM7805三端稳压器件最常用的线性降压型 DC/DC 转换器,只要输入端电压在一定范围内,其输出端电压就是稳定的5V 。

直流稳压电源的方框图如图2所示。

直流稳压电源电路图如图3所示。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

汽车尾灯控制电路设计一、设计任务与要求假设汽车尾部有4个指示灯(用发光二极管模拟),设计要求:(1)汽车正常运行时指示灯全灭(开关s1,s2同时断开);(2)右转弯时,4个指示灯按右循环顺序发光(s1断开、s2闭合);(3)左转弯时,4个指示灯按左循环顺序发光(s1闭合、s2断开);(4)刹车时,所有指示灯全亮(s1、s2同时闭合)。

二、实验设备数字电路试验箱,函数发生器,74LS00,74LS86,74LS161, 74LS138三、设计原理1、汽车尾灯控制电路系统框图电路系统框图如下图所示2、设计步骤列出尾灯变化与开关状态的关系表如下表。

汽车尾灯与开关状态关系表由于汽车左右转弯时,四个指示灯循环发光,所以用四进制计数器控制译码器电路顺序输出低电平,再加之门电路,从而控制尾灯按要求发光。

由此得出在每一种运行情况下,各指示灯与各给定条件S1,S2,CP,Q1,Q0的关系,如下表所示。

首先是设计四进制计数器,用74LS161是很容易实现的,只要接回Q1,Q0这就是四进制计数器了。

由于当A与B逻辑值相等时,四进制计数器相当于对控制电路没有影响,因此可以将A与B异或然后接到74LS138的使能端EN1上,这样可保证A与B同时为1或0时,74LS138不工作,也就是四进制计数器对控制电路没影响了。

由于四进制计数器的循环方向是一致的,因此需要在四进制计数器和三八译码器加门电路。

以保证电路的输出。

左转右转的状态转换表A1因此111A S Q =⊕因此 :010A S Q =⊕由于S1,S2为00和11时,三八译码器不工作,因此0m 1m 2m 3m 都为逻辑1,此时必须将S1,S2通过门电路来控制指示灯的开断。

此时可以先把S1与S2与非然后分别和0m 1m 2m 3m 与非,这样就可以实现S1,S2为00和11时,指示灯去全灭和全亮。

当S1,S2为01和10时,S1与S2与非后为1,再与0m 1m 2m 3m 与非,为0m 1m 2m 3m ,正是我们所要的结果。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。

二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。

由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。

本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。

三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。

四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。

汽车尾灯控制电路设计 - 课程设计

汽车尾灯控制电路设计 - 课程设计

汽车尾灯控制电路设计 - 课程设计洛阳理工学院课程设计报告汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

1.2设计要求1、汽车正常运行时尾灯全部熄灭。

2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。

3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。

4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。

设计要求具体见表1-1。

表1-1 汽车尾灯显示状态变化表开关控制运行状态左转弯右转弯左边尾灯 D1 D2 D3 灯灭灯灭按D1D2D3顺序循环点亮右边尾灯 D4 D5 D6 灯灭按D4D5D6顺序循环点亮灯灭 J1 J2 0 0 0 1 1 0 正常运行右转弯左转弯 1洛阳理工学院课程设计报告1 1 临时刹车所有尾灯同时闪烁第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。

1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮 4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

首先,设置两个可控的开关,可产生00、01、10、11四种状态。

开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。

三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。

基于EDA技术的汽车尾灯控制电路设计精选全文

基于EDA技术的汽车尾灯控制电路设计精选全文

可编辑修改精选全文完整版汽车尾灯控制电路一、设计任务(一)系统功能汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用。

汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车、倒车五种情况,针对这五种情况可以设计出汽车尾灯的控制电路来表示这五种状态。

根据以上所述,应用数字电子技术的知识,设计一款汽车尾灯控制系统,该系统中:1、假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);2、汽车正常运行时指示灯全灭;3、汽车左转弯时,左侧3个指示灯按左循环顺序点亮,汽车右转弯时,右侧3个指示灯按右循环顺序点亮,临时刹车和倒车时所有指示灯同时亮。

4、倒车时播放语音警告5、设置两个可控制的开关,可产生00、01、10、11四种状态开关置为00状态时,表示汽车处于正常运行状态。

开关置为01状态时,表示汽车处于右转弯状态。

开关置为10状态时,表示汽车处于左转弯状态。

开关置为11状态时,表示汽车处于刹车状态。

另设一开关表示汽车运行状态,0表示向前运行,1表示向后倒车。

(二)汽车尾灯控制电路设计任务1、系统框图与原理图设计2、系统电路原理EDA设计与仿真3、系统电路的PCB印制板设计4、硬件调试(三)EDA仿真实验条件要求实验板仿真芯片用Altera Cyclone系列的1C6 240-pin TQFP 可编程逻辑芯片。

大部分仿真用计算机软件QuartusII9.0来完成,当认为运行比较理想时,要按照后文的管脚定义,分配好管脚,编译成可下载的文件,下载到实验板上。

要求能够实现主体功能,实验结果存在的问题,要在报告中分析其原因。

二、实际设计(一)、系统框图与原理图设计该系统的内部控制主要由个模块构成,分别为:状态开关、控制器、倒车警告电路及二极管电路。

系统原理框图如下:1、状态开关共由3个开关组成,一个开关控制汽车运行方向,其余两个开关表示汽车运行状态。

2、控制器为写入程序的Altera Cyclone系列的1C6 240-pin TQFP FPGA芯片,由它根据输入的开关状态控制相应的输出信号。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
-- 精品--
四、确定输入和输出变量
计数器 右转灯 左转灯
-- 精品--
五、确定输入状态
• (1)左转向灯,对应的开关给低电平。 • (2)右转向灯,对应的开关给低电平。
-- 精品--
六、整体设计框图
-- 精品--
(A)右转弯规律图
(B)左转弯规律图
(C)急刹车显示规律图 图2.2.:2 汽车尾灯状态图
-- 精品--
由于此控制电路对秒脉冲的精度要求不高,所以选择555构 成的多些振荡器。电路连接简便并且能达到我们的要求如图 3.1.1:
-- 精品--
开关控制电路通过控制开关S0和S1的闭合与断开来实现汽车正常行驶、 左转弯、右转弯三种状态,开关S2控制刹车。开关功能表如表二:
表 1开关功能表
开关控制
三、设计任务及要求
设计任务 设计一个汽车尾灯控制电路。要求提供总体设计方案,画出各单元及总体 电路图,计算元件参数,选择芯片,安装并调试电路。写出设计总结报告。 设计要求 (1)用六个发光二极管模拟六个汽车尾灯(汽车尾部左右各三个), 用三个开关作为汽车转向的控制信号。三个开关分别作为左转向灯、 右转向灯、故障灯。 (2)当汽车正常直行时,六个汽车尾灯全灭; (3)当汽车向右转向时,汽车右面三个尾灯从左至右顺序亮灭; (4)当汽车向左转向时,汽车左面三个尾灯从右至左顺序亮灭; 提示:利用基本组合逻辑电路设计思路,根据任务列写逻辑真值表,化简输 出逻辑表达式。最后利用基本逻辑门电路实现之。也可以利用单片机来实现。
-- 精品--
八、方案论证
• 汽车尾灯控制器常见电路形式有基于继承门电路构成的电路系统和基 于单片机系统构建的控制电路。
• 方案一:单片机成本较低,其外围电路的元器件价格也不高,但系统 软硬件设计相对比较复杂,运用单片机控制方案,该系统硬件设计包 含扩展电路部分和系统配置电路部分,软件设计又要注意算法的合理 选择和程序的优化设计,所以该系统电路软硬件设计工作量都相对较 大。
sub.clrn = clrn; END GENERATE; IF (USED(enp)) GENERATE
RETURNS (qd, qc, qb, qa, rco); FUNCTION f74161 (clk, ldn, clrn, enp, ent, d, c, b, a)
RETURNS (qd, qc, qb, qa, rco);
PARAMETERS (
DEVICE_FAMILY ); INCLUDE "aglobal.inc";
题目:汽车尾灯控制电路设计
-- 精品--
一、大作业摘要
概述要解决什么问题,你是用啥方法解决这个问 题的,达到了什么结果及存在的问题(200字)
• 设计任务 • 设计一个汽车尾灯控制电路。要求提
供总体设计方案,画出各单元及总体 电路图,计算 • 元件参数,选择芯片,安装并调试电 路。写出设计总结报告。
a
: INPUT = GND;
qd
: OUTPUT;
qc
: OUTPUT;
qb
: OUTPUT;
qa
: OUTPUT;

: OUTPUT;
)
VARIABLE IF (FAMILY_FLEX() == 1) GENERATE sub : f74161; ELSE GENERATE sub : p74161;
• 方案二:继承门电路系统稳定性高,结果再现性好,系统分析与设计 相对较为容易。其电路实现过程较为简单,必须根据逻辑代数规则对 系统进行设计,但是此汽车尾灯控制电路逻辑变量简单,状态少,因 此电路结构简单,所用芯片少,成本也不高。
• 综上所述选择方案二。
-- 精品--
十一、状态机设计(状态编码)
TITLE "Top-level file for the 74161 macrofunction. Chooses a device-family optimized implementation."; FUNCTION p74161 (clk, ldn, clrn, enp, ent, d, c, b, a)
运行状态
左尾灯
右尾灯
S0
S1
S2
DO、D1、D2
D4、D5、D6、
0
0
1
正常运行
灯灭
灯灭
1
0
1
左转弯
D2、D1、D0按顺序点亮
灯灭
0
1
1
右转弯
0
0
0
刹车
灯灭 灯亮
-- 精品--
D4、D5、D6按顺序点亮 灯亮
七、输入和输出变量对应部件说明
计数器 右转灯 左转灯
-- 精品--
译码电路与指示灯
因为需要把计数器的三个状态转化成六个灯的亮灭情况,所以我们选择3-8线制译码器。该电 路的功能是:在模拟控制电路输出和三进制计数器状态下,提供6个尾灯控制信号,使相应指示 灯执行亮灭的指令,我们选择74HC138来执行译码功能。D端输入为1时,经过非门输入进G2B端 为0,G2B端是74HC138的使能端,只有输入为0的时候138正常工作,输出Y0—Y1中只有一个为 0,经过与非门输入为1,则只有该路对应的灯亮。D输入为0的时候,使能端转换为1,则74138 所有输出端为0,通过非门输出转换为1,即所有灯都亮。逻辑电路如图3.3.1:
-- 精品--
END GENERATE;
BEGIN
IF (USED(clk)) GENERATE sub.clk = clk;
END GENERATE; IF (USED(ldn)) GENERATE
sub.ldn = ldn; END GENERATE; IF (USED(clrn)) GENERATE
-- 精品--
二、设计的背景、目的和意义
• 设计一个能适应现代汽车智能化发展要求的汽车尾灯控制 电路。改善以前的汽车尾灯控制系统,降低汽车尾灯控制 器的生产成本。其二、学好VHDL这门硬件描述语言,加 深对VHDL语言知识的理解和掌握,提高学习能力和创新 能力,使自己适应不断发展的21世纪。

-- 精品--
SUBDESIGN 74161 (
-- 精品--
clk
: INPUT = GND;
ldn
: INPUT = VCC;
clrn
: INPUT = VCC;
enp
: INPUT = VCC;
ent
: INPUT = VCC;
d
: INPUT = GND;
c
: INPUT = GND;
b
: INPUT = GND;
相关文档
最新文档